[INFO] fetching crate rust-hdl-test-core 0.1.0... [INFO] testing rust-hdl-test-core-0.1.0 against master#a77da2d454e6caa227a85b16410b95f93495e7e0 for pr-91031 [INFO] extracting crate rust-hdl-test-core 0.1.0 into /workspace/builds/worker-19/source [INFO] validating manifest of crates.io crate rust-hdl-test-core 0.1.0 on toolchain a77da2d454e6caa227a85b16410b95f93495e7e0 [INFO] running `Command { std: "/workspace/cargo-home/bin/cargo" "+a77da2d454e6caa227a85b16410b95f93495e7e0" "metadata" "--manifest-path" "Cargo.toml" "--no-deps", kill_on_drop: false }` [INFO] started tweaking crates.io crate rust-hdl-test-core 0.1.0 [INFO] finished tweaking crates.io crate rust-hdl-test-core 0.1.0 [INFO] tweaked toml for crates.io crate rust-hdl-test-core 0.1.0 written to /workspace/builds/worker-19/source/Cargo.toml [INFO] running `Command { std: "/workspace/cargo-home/bin/cargo" "+a77da2d454e6caa227a85b16410b95f93495e7e0" "generate-lockfile" "--manifest-path" "Cargo.toml" "-Zno-index-update", kill_on_drop: false }` [INFO] [stderr] Blocking waiting for file lock on package cache [INFO] running `Command { std: "/workspace/cargo-home/bin/cargo" "+a77da2d454e6caa227a85b16410b95f93495e7e0" "fetch" "--manifest-path" "Cargo.toml", kill_on_drop: false }` [INFO] [stderr] Blocking waiting for file lock on package cache [INFO] running `Command { std: "docker" "create" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/target:/opt/rustwide/target:rw,Z" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/source:/opt/rustwide/workdir:ro,Z" "-v" "/var/lib/crater-agent-workspace/cargo-home:/opt/rustwide/cargo-home:ro,Z" "-v" "/var/lib/crater-agent-workspace/rustup-home:/opt/rustwide/rustup-home:ro,Z" "-e" "SOURCE_DIR=/opt/rustwide/workdir" "-e" "CARGO_TARGET_DIR=/opt/rustwide/target" "-e" "CARGO_HOME=/opt/rustwide/cargo-home" "-e" "RUSTUP_HOME=/opt/rustwide/rustup-home" "-w" "/opt/rustwide/workdir" "-m" "1610612736" "--user" "0:0" "--network" "none" "ghcr.io/rust-lang/crates-build-env/linux@sha256:5736fa189c1c60b01babf4b8b698fe57b6ecc41933a7ff2e0b8d7a221459412b" "/opt/rustwide/cargo-home/bin/cargo" "+a77da2d454e6caa227a85b16410b95f93495e7e0" "metadata" "--no-deps" "--format-version=1", kill_on_drop: false }` [INFO] [stdout] a609d327f92df3dd8356755c20ccdab10581dd769494d32c30e08655adba0f5b [INFO] running `Command { std: "docker" "start" "-a" "a609d327f92df3dd8356755c20ccdab10581dd769494d32c30e08655adba0f5b", kill_on_drop: false }` [INFO] running `Command { std: "docker" "inspect" "a609d327f92df3dd8356755c20ccdab10581dd769494d32c30e08655adba0f5b", kill_on_drop: false }` [INFO] running `Command { std: "docker" "rm" "-f" "a609d327f92df3dd8356755c20ccdab10581dd769494d32c30e08655adba0f5b", kill_on_drop: false }` [INFO] [stdout] a609d327f92df3dd8356755c20ccdab10581dd769494d32c30e08655adba0f5b [INFO] running `Command { std: "docker" "create" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/target:/opt/rustwide/target:rw,Z" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/source:/opt/rustwide/workdir:ro,Z" "-v" "/var/lib/crater-agent-workspace/cargo-home:/opt/rustwide/cargo-home:ro,Z" "-v" "/var/lib/crater-agent-workspace/rustup-home:/opt/rustwide/rustup-home:ro,Z" "-e" "SOURCE_DIR=/opt/rustwide/workdir" "-e" "CARGO_TARGET_DIR=/opt/rustwide/target" "-e" "CARGO_INCREMENTAL=0" "-e" "RUST_BACKTRACE=full" "-e" "RUSTFLAGS=--cap-lints=forbid" "-e" "CARGO_HOME=/opt/rustwide/cargo-home" "-e" "RUSTUP_HOME=/opt/rustwide/rustup-home" "-w" "/opt/rustwide/workdir" "-m" "1610612736" "--user" "0:0" "--network" "none" "ghcr.io/rust-lang/crates-build-env/linux@sha256:5736fa189c1c60b01babf4b8b698fe57b6ecc41933a7ff2e0b8d7a221459412b" "/opt/rustwide/cargo-home/bin/cargo" "+a77da2d454e6caa227a85b16410b95f93495e7e0" "build" "--frozen" "--message-format=json", kill_on_drop: false }` [INFO] [stdout] 4a72d2e246c0dbe88eb2720161304c9bd19427ec5044bd9064efe8ec53195752 [INFO] running `Command { std: "docker" "start" "-a" "4a72d2e246c0dbe88eb2720161304c9bd19427ec5044bd9064efe8ec53195752", kill_on_drop: false }` [INFO] [stderr] Blocking waiting for file lock on package cache [INFO] [stderr] Compiling cfg-if v1.0.0 [INFO] [stderr] Compiling crossbeam-utils v0.8.5 [INFO] [stderr] Compiling memchr v2.4.1 [INFO] [stderr] Compiling crossbeam-epoch v0.9.5 [INFO] [stderr] Compiling crossbeam-queue v0.3.2 [INFO] [stderr] Compiling syn v1.0.81 [INFO] [stderr] Compiling libc v0.2.108 [INFO] [stderr] Compiling vcd v0.6.1 [INFO] [stderr] Compiling evalexpr v6.6.0 [INFO] [stderr] Compiling ppv-lite86 v0.2.15 [INFO] [stderr] Compiling array-init v2.0.0 [INFO] [stderr] Compiling memoffset v0.6.4 [INFO] [stderr] Compiling num-traits v0.2.14 [INFO] [stderr] Compiling num-integer v0.1.44 [INFO] [stderr] Compiling num-bigint v0.4.3 [INFO] [stderr] Compiling aho-corasick v0.7.18 [INFO] [stderr] Compiling crossbeam-channel v0.5.1 [INFO] [stderr] Compiling crossbeam-deque v0.8.1 [INFO] [stderr] Compiling getrandom v0.2.3 [INFO] [stderr] Compiling regex v1.5.4 [INFO] [stderr] Compiling crossbeam v0.8.1 [INFO] [stderr] Compiling rand_core v0.6.3 [INFO] [stderr] Compiling rand_chacha v0.3.1 [INFO] [stderr] Compiling rand v0.8.4 [INFO] [stderr] Compiling rust-hdl-macros v0.1.0 [INFO] [stderr] Compiling rust-hdl-core v0.1.2 [INFO] [stderr] Compiling rust-hdl-yosys-synth v0.1.0 [INFO] [stderr] Compiling rust-hdl-widgets v0.1.0 [INFO] [stderr] Compiling rust-hdl-sim-chips v0.1.0 [INFO] [stderr] Compiling rust-hdl-test-core v0.1.0 (/opt/rustwide/workdir) [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/lib.rs:1:5 [INFO] [stdout] | [INFO] [stdout] 1 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = note: `#[warn(unused_imports)]` on by default [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::time::Duration` [INFO] [stdout] --> src/lib.rs:2:5 [INFO] [stdout] | [INFO] [stdout] 2 | use std::time::Duration; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/lib.rs:6:5 [INFO] [stdout] | [INFO] [stdout] 6 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_core::prelude::*` [INFO] [stdout] --> src/edge_detector.rs:1:5 [INFO] [stdout] | [INFO] [stdout] 1 | use rust_hdl_core::prelude::*; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_widgets::edge_detector::EdgeDetector` [INFO] [stdout] --> src/edge_detector.rs:2:5 [INFO] [stdout] | [INFO] [stdout] 2 | use rust_hdl_widgets::edge_detector::EdgeDetector; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/edge_detector.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rand::Rng` [INFO] [stdout] --> src/fifo.rs:1:5 [INFO] [stdout] | [INFO] [stdout] 1 | use rand::Rng; [INFO] [stdout] | ^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/fifo.rs:5:5 [INFO] [stdout] | [INFO] [stdout] 5 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused imports: `LogicBlock`, `LogicInterface`, `hdl_gen` [INFO] [stdout] --> src/nested_ports.rs:2:23 [INFO] [stdout] | [INFO] [stdout] 2 | use rust_hdl_macros::{hdl_gen, LogicBlock, LogicInterface}; [INFO] [stdout] | ^^^^^^^ ^^^^^^^^^^ ^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/nested_ports.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/pwm.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/pwm.rs:4:5 [INFO] [stdout] | [INFO] [stdout] 4 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/ram.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/rom.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/rom.rs:5:5 [INFO] [stdout] | [INFO] [stdout] 5 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/spi.rs:4:5 [INFO] [stdout] | [INFO] [stdout] 4 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/sync_rom.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/expander.rs:29:12 [INFO] [stdout] | [INFO] [stdout] 29 | pub fn new(word_order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] | [INFO] [stdout] = note: `#[warn(dead_code)]` on by default [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/expander.rs:144:12 [INFO] [stdout] | [INFO] [stdout] 144 | pub fn new(word_order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/ram.rs:12:12 [INFO] [stdout] | [INFO] [stdout] 12 | pub fn new() -> RAMTest { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/reducer.rs:29:12 [INFO] [stdout] | [INFO] [stdout] 29 | pub fn new(order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/reducer.rs:134:12 [INFO] [stdout] | [INFO] [stdout] 134 | pub fn new(order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/rom.rs:13:12 [INFO] [stdout] | [INFO] [stdout] 13 | pub fn new() -> ROMTest { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/spi.rs:80:12 [INFO] [stdout] | [INFO] [stdout] 80 | pub fn new(config: SPIConfig) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/sync_rom.rs:12:12 [INFO] [stdout] | [INFO] [stdout] 12 | pub fn new() -> SyncROMTest { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: constant is never used: `MHZ1` [INFO] [stdout] --> src/lib.rs:23:1 [INFO] [stdout] | [INFO] [stdout] 23 | const MHZ1: u64 = 1_000_000; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: 26 warnings emitted [INFO] [stdout] [INFO] [stdout] [INFO] [stderr] Finished dev [unoptimized + debuginfo] target(s) in 37.48s [INFO] running `Command { std: "docker" "inspect" "4a72d2e246c0dbe88eb2720161304c9bd19427ec5044bd9064efe8ec53195752", kill_on_drop: false }` [INFO] running `Command { std: "docker" "rm" "-f" "4a72d2e246c0dbe88eb2720161304c9bd19427ec5044bd9064efe8ec53195752", kill_on_drop: false }` [INFO] [stdout] 4a72d2e246c0dbe88eb2720161304c9bd19427ec5044bd9064efe8ec53195752 [INFO] running `Command { std: "docker" "create" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/target:/opt/rustwide/target:rw,Z" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/source:/opt/rustwide/workdir:ro,Z" "-v" "/var/lib/crater-agent-workspace/cargo-home:/opt/rustwide/cargo-home:ro,Z" "-v" "/var/lib/crater-agent-workspace/rustup-home:/opt/rustwide/rustup-home:ro,Z" "-e" "SOURCE_DIR=/opt/rustwide/workdir" "-e" "CARGO_TARGET_DIR=/opt/rustwide/target" "-e" "CARGO_INCREMENTAL=0" "-e" "RUST_BACKTRACE=full" "-e" "RUSTFLAGS=--cap-lints=forbid" "-e" "CARGO_HOME=/opt/rustwide/cargo-home" "-e" "RUSTUP_HOME=/opt/rustwide/rustup-home" "-w" "/opt/rustwide/workdir" "-m" "1610612736" "--user" "0:0" "--network" "none" "ghcr.io/rust-lang/crates-build-env/linux@sha256:5736fa189c1c60b01babf4b8b698fe57b6ecc41933a7ff2e0b8d7a221459412b" "/opt/rustwide/cargo-home/bin/cargo" "+a77da2d454e6caa227a85b16410b95f93495e7e0" "test" "--frozen" "--no-run" "--message-format=json", kill_on_drop: false }` [INFO] [stdout] 5f48f0ca90ca20cd15ef2136fd707f884b4795558b314676d76bd421379bfc23 [INFO] running `Command { std: "docker" "start" "-a" "5f48f0ca90ca20cd15ef2136fd707f884b4795558b314676d76bd421379bfc23", kill_on_drop: false }` [INFO] [stderr] Blocking waiting for file lock on package cache [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/lib.rs:1:5 [INFO] [stdout] | [INFO] [stdout] 1 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = note: `#[warn(unused_imports)]` on by default [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::time::Duration` [INFO] [stdout] --> src/lib.rs:2:5 [INFO] [stdout] | [INFO] [stdout] 2 | use std::time::Duration; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/lib.rs:6:5 [INFO] [stdout] | [INFO] [stdout] 6 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_core::prelude::*` [INFO] [stdout] --> src/edge_detector.rs:1:5 [INFO] [stdout] | [INFO] [stdout] 1 | use rust_hdl_core::prelude::*; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_widgets::edge_detector::EdgeDetector` [INFO] [stdout] --> src/edge_detector.rs:2:5 [INFO] [stdout] | [INFO] [stdout] 2 | use rust_hdl_widgets::edge_detector::EdgeDetector; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/edge_detector.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rand::Rng` [INFO] [stdout] --> src/fifo.rs:1:5 [INFO] [stdout] | [INFO] [stdout] 1 | use rand::Rng; [INFO] [stdout] | ^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/fifo.rs:5:5 [INFO] [stdout] | [INFO] [stdout] 5 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused imports: `LogicBlock`, `LogicInterface`, `hdl_gen` [INFO] [stdout] --> src/nested_ports.rs:2:23 [INFO] [stdout] | [INFO] [stdout] 2 | use rust_hdl_macros::{hdl_gen, LogicBlock, LogicInterface}; [INFO] [stdout] | ^^^^^^^ ^^^^^^^^^^ ^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/nested_ports.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/pwm.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/pwm.rs:4:5 [INFO] [stdout] | [INFO] [stdout] 4 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/ram.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stderr] Compiling rust-hdl-test-core v0.1.0 (/opt/rustwide/workdir) [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/rom.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `std::fs::File` [INFO] [stdout] --> src/rom.rs:5:5 [INFO] [stdout] | [INFO] [stdout] 5 | use std::fs::File; [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/spi.rs:4:5 [INFO] [stdout] | [INFO] [stdout] 4 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stdout] --> src/sync_rom.rs:3:5 [INFO] [stdout] | [INFO] [stdout] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/expander.rs:29:12 [INFO] [stdout] | [INFO] [stdout] 29 | pub fn new(word_order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] | [INFO] [stdout] = note: `#[warn(dead_code)]` on by default [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/expander.rs:144:12 [INFO] [stdout] | [INFO] [stdout] 144 | pub fn new(word_order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/ram.rs:12:12 [INFO] [stdout] | [INFO] [stdout] 12 | pub fn new() -> RAMTest { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/reducer.rs:29:12 [INFO] [stdout] | [INFO] [stdout] 29 | pub fn new(order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/reducer.rs:134:12 [INFO] [stdout] | [INFO] [stdout] 134 | pub fn new(order: WordOrder) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/rom.rs:13:12 [INFO] [stdout] | [INFO] [stdout] 13 | pub fn new() -> ROMTest { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/spi.rs:80:12 [INFO] [stdout] | [INFO] [stdout] 80 | pub fn new(config: SPIConfig) -> Self { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: associated function is never used: `new` [INFO] [stdout] --> src/sync_rom.rs:12:12 [INFO] [stdout] | [INFO] [stdout] 12 | pub fn new() -> SyncROMTest { [INFO] [stdout] | ^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: constant is never used: `MHZ1` [INFO] [stdout] --> src/lib.rs:23:1 [INFO] [stdout] | [INFO] [stdout] 23 | const MHZ1: u64 = 1_000_000; [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: 26 warnings emitted [INFO] [stdout] [INFO] [stdout] [INFO] [stderr] Finished test [unoptimized + debuginfo] target(s) in 10.80s [INFO] running `Command { std: "docker" "inspect" "5f48f0ca90ca20cd15ef2136fd707f884b4795558b314676d76bd421379bfc23", kill_on_drop: false }` [INFO] running `Command { std: "docker" "rm" "-f" "5f48f0ca90ca20cd15ef2136fd707f884b4795558b314676d76bd421379bfc23", kill_on_drop: false }` [INFO] [stdout] 5f48f0ca90ca20cd15ef2136fd707f884b4795558b314676d76bd421379bfc23 [INFO] running `Command { std: "docker" "create" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/target:/opt/rustwide/target:rw,Z" "-v" "/var/lib/crater-agent-workspace/builds/worker-19/source:/opt/rustwide/workdir:ro,Z" "-v" "/var/lib/crater-agent-workspace/cargo-home:/opt/rustwide/cargo-home:ro,Z" "-v" "/var/lib/crater-agent-workspace/rustup-home:/opt/rustwide/rustup-home:ro,Z" "-e" "SOURCE_DIR=/opt/rustwide/workdir" "-e" "CARGO_TARGET_DIR=/opt/rustwide/target" "-e" "CARGO_INCREMENTAL=0" "-e" "RUST_BACKTRACE=full" "-e" "RUSTFLAGS=--cap-lints=forbid" "-e" "CARGO_HOME=/opt/rustwide/cargo-home" "-e" "RUSTUP_HOME=/opt/rustwide/rustup-home" "-w" "/opt/rustwide/workdir" "-m" "1610612736" "--user" "0:0" "--network" "none" "ghcr.io/rust-lang/crates-build-env/linux@sha256:5736fa189c1c60b01babf4b8b698fe57b6ecc41933a7ff2e0b8d7a221459412b" "/opt/rustwide/cargo-home/bin/cargo" "+a77da2d454e6caa227a85b16410b95f93495e7e0" "test" "--frozen", kill_on_drop: false }` [INFO] [stdout] 72aa87e41cebc3ef7de14b1f098a629b0de410ed138a45b83664ccf38987242b [INFO] running `Command { std: "docker" "start" "-a" "72aa87e41cebc3ef7de14b1f098a629b0de410ed138a45b83664ccf38987242b", kill_on_drop: false }` [INFO] [stderr] Blocking waiting for file lock on package cache [INFO] [stderr] warning: unused import: `std::fs::File` [INFO] [stderr] --> src/lib.rs:1:5 [INFO] [stderr] | [INFO] [stderr] 1 | use std::fs::File; [INFO] [stderr] | ^^^^^^^^^^^^^ [INFO] [stderr] | [INFO] [stderr] = note: `#[warn(unused_imports)]` on by default [INFO] [stderr] [INFO] [stderr] warning: unused import: `std::time::Duration` [INFO] [stderr] --> src/lib.rs:2:5 [INFO] [stderr] | [INFO] [stderr] 2 | use std::time::Duration; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/lib.rs:6:5 [INFO] [stderr] | [INFO] [stderr] 6 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_core::prelude::*` [INFO] [stderr] --> src/edge_detector.rs:1:5 [INFO] [stderr] | [INFO] [stderr] 1 | use rust_hdl_core::prelude::*; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_widgets::edge_detector::EdgeDetector` [INFO] [stderr] --> src/edge_detector.rs:2:5 [INFO] [stderr] | [INFO] [stderr] 2 | use rust_hdl_widgets::edge_detector::EdgeDetector; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/edge_detector.rs:3:5 [INFO] [stderr] | [INFO] [stderr] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rand::Rng` [INFO] [stderr] --> src/fifo.rs:1:5 [INFO] [stderr] | [INFO] [stderr] 1 | use rand::Rng; [INFO] [stderr] | ^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/fifo.rs:5:5 [INFO] [stderr] | [INFO] [stderr] 5 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused imports: `LogicBlock`, `LogicInterface`, `hdl_gen` [INFO] [stderr] --> src/nested_ports.rs:2:23 [INFO] [stderr] | [INFO] [stderr] 2 | use rust_hdl_macros::{hdl_gen, LogicBlock, LogicInterface}; [INFO] [stderr] | ^^^^^^^ ^^^^^^^^^^ ^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `std::fs::File` [INFO] [stderr] --> src/nested_ports.rs:3:5 [INFO] [stderr] | [INFO] [stderr] 3 | use std::fs::File; [INFO] [stderr] | ^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/pwm.rs:3:5 [INFO] [stderr] | [INFO] [stderr] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `std::fs::File` [INFO] [stderr] --> src/pwm.rs:4:5 [INFO] [stderr] | [INFO] [stderr] 4 | use std::fs::File; [INFO] [stderr] | ^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/ram.rs:3:5 [INFO] [stderr] | [INFO] [stderr] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/rom.rs:3:5 [INFO] [stderr] | [INFO] [stderr] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `std::fs::File` [INFO] [stderr] --> src/rom.rs:5:5 [INFO] [stderr] | [INFO] [stderr] 5 | use std::fs::File; [INFO] [stderr] | ^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/spi.rs:4:5 [INFO] [stderr] | [INFO] [stderr] 4 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: unused import: `rust_hdl_yosys_synth::yosys_validate` [INFO] [stderr] --> src/sync_rom.rs:3:5 [INFO] [stderr] | [INFO] [stderr] 3 | use rust_hdl_yosys_synth::yosys_validate; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/expander.rs:29:12 [INFO] [stderr] | [INFO] [stderr] 29 | pub fn new(word_order: WordOrder) -> Self { [INFO] [stderr] | ^^^ [INFO] [stderr] | [INFO] [stderr] = note: `#[warn(dead_code)]` on by default [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/expander.rs:144:12 [INFO] [stderr] | [INFO] [stderr] 144 | pub fn new(word_order: WordOrder) -> Self { [INFO] [stderr] | ^^^ [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/ram.rs:12:12 [INFO] [stderr] | [INFO] [stderr] 12 | pub fn new() -> RAMTest { [INFO] [stderr] | ^^^ [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/reducer.rs:29:12 [INFO] [stderr] | [INFO] [stderr] 29 | pub fn new(order: WordOrder) -> Self { [INFO] [stderr] | ^^^ [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/reducer.rs:134:12 [INFO] [stderr] | [INFO] [stderr] 134 | pub fn new(order: WordOrder) -> Self { [INFO] [stderr] | ^^^ [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/rom.rs:13:12 [INFO] [stderr] | [INFO] [stderr] 13 | pub fn new() -> ROMTest { [INFO] [stderr] | ^^^ [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/spi.rs:80:12 [INFO] [stderr] | [INFO] [stderr] 80 | pub fn new(config: SPIConfig) -> Self { [INFO] [stderr] | ^^^ [INFO] [stderr] [INFO] [stderr] warning: associated function is never used: `new` [INFO] [stderr] --> src/sync_rom.rs:12:12 [INFO] [stderr] | [INFO] [stderr] 12 | pub fn new() -> SyncROMTest { [INFO] [stderr] | ^^^ [INFO] [stderr] [INFO] [stderr] warning: constant is never used: `MHZ1` [INFO] [stderr] --> src/lib.rs:23:1 [INFO] [stderr] | [INFO] [stderr] 23 | const MHZ1: u64 = 1_000_000; [INFO] [stderr] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stderr] [INFO] [stderr] warning: `rust-hdl-test-core` (lib) generated 26 warnings [INFO] [stderr] Finished test [unoptimized + debuginfo] target(s) in 0.16s [INFO] [stderr] Running unittests (/opt/rustwide/target/debug/deps/rust_hdl_test_core-3893584ec18353db) [INFO] [stdout] [INFO] [stdout] running 34 tests [INFO] [stdout] test base_tests::tests::test_async ... ok [INFO] [stdout] test base_tests::tests::test_enum_state ... ok [INFO] [stdout] test base_tests::tests::test_write_modules ... ok [INFO] [stdout] test base_tests::tests::test_tb ... ok [INFO] [stdout] test reducer::test_reducer_works_least_sig_word_first ... ok [INFO] [stdout] test reducer::test_reducer_works ... ok [INFO] [stdout] test reducer::test_slim_works ... ok [INFO] [stdout] test fifo::test_almost_empty_is_accurate_synchronous_fifo ... FAILED [INFO] [stdout] test fifo::test_almost_empty_is_accurate_in_large_fifo ... FAILED [INFO] [stdout] test fifo::test_almost_empty_is_accurate_in_large_async_fifo ... FAILED [INFO] [stdout] test expander::test_expander_works_with_lsw_first ... FAILED [INFO] [stdout] test expander::test_expander_works ... FAILED [INFO] [stdout] test expander::test_fatten_works ... FAILED [INFO] [stdout] test rom::test_rom_works ... FAILED [INFO] [stdout] test ram::test_ram_works ... FAILED [INFO] [stdout] test edge_detector::test_falling_edge_detector_works ... FAILED [INFO] [stdout] test pwm::test_pwm_circuit ... FAILED [INFO] [stdout] test edge_detector::test_rising_edge_detector_works ... FAILED [INFO] [stdout] test ram::test_synthesis_ram ... FAILED [INFO] [stdout] test nested_ports::test_write_modules_nested_ports ... FAILED [INFO] [stdout] test rom::test_synthesis_rom ... FAILED [INFO] [stdout] test fifo::test_fifo_works_synchronous_fifo ... FAILED [INFO] [stdout] test fifo::test_fifo_can_be_filled_synchronous_fifo ... FAILED [INFO] [stdout] test fifo::test_sync_vec ... FAILED [INFO] [stdout] test test_shot ... FAILED [INFO] [stdout] test test_strobe ... FAILED [INFO] [stdout] test fifo::test_vector_synchronizer ... FAILED [INFO] [stdout] test sync_rom::test_synthesis_sync_rom ... FAILED [INFO] [stdout] test test_strobe_as_verilog ... FAILED [INFO] [stdout] test spi::test_spi_txn_completes ... FAILED [INFO] [stdout] test fifo::test_fifo_reducer_works ... FAILED [INFO] [stdout] test fifo::test_fifo_works_asynchronous_fifo ... FAILED [INFO] [stdout] test spi::test_spi_xchange_modes ... FAILED [INFO] [stdout] test base_tests::tests::test_visit_version ... ok [INFO] [stdout] [INFO] [stdout] failures: [INFO] [stdout] [INFO] [stdout] ---- fifo::test_almost_empty_is_accurate_synchronous_fifo stdout ---- [INFO] [stdout] thread 'fifo::test_almost_empty_is_accurate_synchronous_fifo' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/fifo.rs:222:56 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c260c985c - rust_hdl_test_core::fifo::test_almost_empty_is_accurate_synchronous_fifo::hb5ef5ea5cd96aa3a [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:222:9 [INFO] [stdout] 18: 0x562c260c964a - rust_hdl_test_core::fifo::test_almost_empty_is_accurate_synchronous_fifo::{{closure}}::haa6999253f3dc837 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:185:1 [INFO] [stdout] 19: 0x562c26042abe - core::ops::function::FnOnce::call_once::h9fc0d9af3e3fb9d0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_almost_empty_is_accurate_in_large_fifo stdout ---- [INFO] [stdout] thread 'fifo::test_almost_empty_is_accurate_in_large_fifo' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/fifo.rs:179:60 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c260c83ac - rust_hdl_test_core::fifo::test_almost_empty_is_accurate_in_large_fifo::he2d11dd2c1e30ab5 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:179:9 [INFO] [stdout] 18: 0x562c260c819a - rust_hdl_test_core::fifo::test_almost_empty_is_accurate_in_large_fifo::{{closure}}::h507d7b419adb9fd6 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:154:1 [INFO] [stdout] 19: 0x562c26041bde - core::ops::function::FnOnce::call_once::h7be503afd1a09e6a [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_almost_empty_is_accurate_in_large_async_fifo stdout ---- [INFO] [stdout] thread 'fifo::test_almost_empty_is_accurate_in_large_async_fifo' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/fifo.rs:469:66 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c260d6e60 - rust_hdl_test_core::fifo::test_almost_empty_is_accurate_in_large_async_fifo::h80867aeea4f7f323 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:469:9 [INFO] [stdout] 18: 0x562c260d6c2a - rust_hdl_test_core::fifo::test_almost_empty_is_accurate_in_large_async_fifo::{{closure}}::h6f39453778c2c3cf [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:437:1 [INFO] [stdout] 19: 0x562c26044efe - core::ops::function::FnOnce::call_once::hf63e5a1a7d83a76b [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- expander::test_expander_works_with_lsw_first stdout ---- [INFO] [stdout] thread 'expander::test_expander_works_with_lsw_first' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/expander.rs:122:52 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c25fbbc3f - rust_hdl_test_core::expander::test_expander_works_with_lsw_first::h39ec28faaf36d358 [INFO] [stdout] at /opt/rustwide/workdir/src/expander.rs:122:9 [INFO] [stdout] 18: 0x562c25fbba0a - rust_hdl_test_core::expander::test_expander_works_with_lsw_first::{{closure}}::h775806625962a120 [INFO] [stdout] at /opt/rustwide/workdir/src/expander.rs:84:1 [INFO] [stdout] 19: 0x562c26043c6e - core::ops::function::FnOnce::call_once::hc7ad109f05e7137d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- expander::test_expander_works stdout ---- [INFO] [stdout] thread 'expander::test_expander_works' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/expander.rs:78:48 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c25fb945f - rust_hdl_test_core::expander::test_expander_works::h46d59db3183c4e16 [INFO] [stdout] at /opt/rustwide/workdir/src/expander.rs:78:9 [INFO] [stdout] 18: 0x562c25fb922a - rust_hdl_test_core::expander::test_expander_works::{{closure}}::h640d1efee714307b [INFO] [stdout] at /opt/rustwide/workdir/src/expander.rs:40:1 [INFO] [stdout] 19: 0x562c2604318e - core::ops::function::FnOnce::call_once::hb1cc753095e7aa24 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- expander::test_fatten_works stdout ---- [INFO] [stdout] thread 'expander::test_fatten_works' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/expander.rs:191:46 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c25fbe94c - rust_hdl_test_core::expander::test_fatten_works::hb5d850ac81681452 [INFO] [stdout] at /opt/rustwide/workdir/src/expander.rs:191:9 [INFO] [stdout] 18: 0x562c25fbe71a - rust_hdl_test_core::expander::test_fatten_works::{{closure}}::h2977252de36bf23b [INFO] [stdout] at /opt/rustwide/workdir/src/expander.rs:153:1 [INFO] [stdout] 19: 0x562c2603f68e - core::ops::function::FnOnce::call_once::h210278f87ff3a1da [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- rom::test_rom_works stdout ---- [INFO] [stdout] thread 'rom::test_rom_works' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/rom.rs:52:64 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c25fff899 - rust_hdl_test_core::rom::test_rom_works::h66919620e1e445b4 [INFO] [stdout] at /opt/rustwide/workdir/src/rom.rs:52:40 [INFO] [stdout] 18: 0x562c25fff6da - rust_hdl_test_core::rom::test_rom_works::{{closure}}::h0a3d3cf48271aba7 [INFO] [stdout] at /opt/rustwide/workdir/src/rom.rs:37:1 [INFO] [stdout] 19: 0x562c2604481e - core::ops::function::FnOnce::call_once::he1da594129d658c0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- ram::test_ram_works stdout ---- [INFO] [stdout] thread 'ram::test_ram_works' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c261aa037 - rust_hdl_test_core::ram::test_ram_works::h0df9add21db3042c [INFO] [stdout] at /opt/rustwide/workdir/src/ram.rs:51:5 [INFO] [stdout] 19: 0x562c261a9f1a - rust_hdl_test_core::ram::test_ram_works::{{closure}}::h97f61147e318d0e7 [INFO] [stdout] at /opt/rustwide/workdir/src/ram.rs:43:1 [INFO] [stdout] 20: 0x562c26041e4e - core::ops::function::FnOnce::call_once::h84ec433f3ca1b932 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- edge_detector::test_falling_edge_detector_works stdout ---- [INFO] [stdout] thread 'edge_detector::test_falling_edge_detector_works' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c2624f20c - rust_hdl_test_core::edge_detector::test_falling_edge_detector_works::he629235b72283601 [INFO] [stdout] at /opt/rustwide/workdir/src/edge_detector.rs:46:5 [INFO] [stdout] 19: 0x562c2624f11a - rust_hdl_test_core::edge_detector::test_falling_edge_detector_works::{{closure}}::h21db9824755a6a04 [INFO] [stdout] at /opt/rustwide/workdir/src/edge_detector.rs:41:1 [INFO] [stdout] 20: 0x562c2603e93e - core::ops::function::FnOnce::call_once::h0174259a3236905c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- pwm::test_pwm_circuit stdout ---- [INFO] [stdout] thread 'pwm::test_pwm_circuit' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c25ffebe2 - rust_hdl_test_core::pwm::test_pwm_circuit::h8c388a8c3c817402 [INFO] [stdout] at /opt/rustwide/workdir/src/pwm.rs:35:5 [INFO] [stdout] 19: 0x562c25ffeb0a - rust_hdl_test_core::pwm::test_pwm_circuit::{{closure}}::h178e979c5fe9625f [INFO] [stdout] at /opt/rustwide/workdir/src/pwm.rs:31:1 [INFO] [stdout] 20: 0x562c260416ee - core::ops::function::FnOnce::call_once::h6d58c37796fbbf3c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- edge_detector::test_rising_edge_detector_works stdout ---- [INFO] [stdout] thread 'edge_detector::test_rising_edge_detector_works' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c2624c36f - rust_hdl_test_core::edge_detector::test_rising_edge_detector_works::h5a87fe8cd7cfc4a5 [INFO] [stdout] at /opt/rustwide/workdir/src/edge_detector.rs:11:5 [INFO] [stdout] 19: 0x562c2624c27a - rust_hdl_test_core::edge_detector::test_rising_edge_detector_works::{{closure}}::h6d793fd6f637f375 [INFO] [stdout] at /opt/rustwide/workdir/src/edge_detector.rs:6:1 [INFO] [stdout] 20: 0x562c2604370e - core::ops::function::FnOnce::call_once::hbb3a4daee893b5c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- ram::test_synthesis_ram stdout ---- [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top(clock); [INFO] [stdout] [INFO] [stdout] // Module arguments [INFO] [stdout] input wire clock; [INFO] [stdout] [INFO] [stdout] // Stub signals [INFO] [stdout] reg [4:0] ram$read_address; [INFO] [stdout] reg ram$read_clock; [INFO] [stdout] wire [15:0] ram$read_data; [INFO] [stdout] reg [4:0] ram$write_address; [INFO] [stdout] reg ram$write_clock; [INFO] [stdout] reg [15:0] ram$write_data; [INFO] [stdout] reg ram$write_enable; [INFO] [stdout] [INFO] [stdout] // Sub module instances [INFO] [stdout] top$ram ram(.read_address(ram$read_address),.read_clock(ram$read_clock),.read_data(ram$read_data),.write_address(ram$write_address),.write_clock(ram$write_clock),.write_data(ram$write_data),.write_enable(ram$write_enable)); [INFO] [stdout] [INFO] [stdout] // Update code [INFO] [stdout] always @(*) begin [INFO] [stdout] ram$write_clock = clock; [INFO] [stdout] ram$read_clock = clock; [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] endmodule // top [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top$ram(read_address,read_clock,read_data,write_address,write_clock,write_data,write_enable); [INFO] [stdout] [INFO] [stdout] // Module arguments [INFO] [stdout] input wire [4:0] read_address; [INFO] [stdout] input wire read_clock; [INFO] [stdout] output reg [15:0] read_data; [INFO] [stdout] input wire [4:0] write_address; [INFO] [stdout] input wire write_clock; [INFO] [stdout] input wire [15:0] write_data; [INFO] [stdout] input wire write_enable; [INFO] [stdout] [INFO] [stdout] // Update code (custom) [INFO] [stdout] reg[15:0] mem[31:0]; [INFO] [stdout] [INFO] [stdout] initial begin [INFO] [stdout] ; [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] always @(posedge read_clock) begin [INFO] [stdout] read_data <= mem[read_address]; [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] always @(posedge write_clock) begin [INFO] [stdout] if (write_enable) begin [INFO] [stdout] mem[write_address] <= write_data; [INFO] [stdout] end [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] endmodule // top$ram [INFO] [stdout] [INFO] [stdout] thread 'ram::test_synthesis_ram' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c261a9ebc - rust_hdl_test_core::ram::test_synthesis_ram::habb470f41e828f3d [INFO] [stdout] at /opt/rustwide/workdir/src/ram.rs:39:5 [INFO] [stdout] 19: 0x562c261a9d0a - rust_hdl_test_core::ram::test_synthesis_ram::{{closure}}::he02b7ee58e9c860f [INFO] [stdout] at /opt/rustwide/workdir/src/ram.rs:29:1 [INFO] [stdout] 20: 0x562c26043dee - core::ops::function::FnOnce::call_once::hcb0f5b4f5ac63e98 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- nested_ports::test_write_modules_nested_ports stdout ---- [INFO] [stdout] Signal: widget_a$clock$next [INFO] [stdout] Signal: clock [INFO] [stdout] Signal: widget_b$clock$next [INFO] [stdout] Signal: clock [INFO] [stdout] Signal: select [INFO] [stdout] Signal: bus$cmd$underflow$next [INFO] [stdout] Signal: widget_a$bus$cmd$underflow [INFO] [stdout] Signal: bus$cmd$almost_empty$next [INFO] [stdout] Signal: widget_a$bus$cmd$almost_empty [INFO] [stdout] Signal: bus$cmd$empty$next [INFO] [stdout] Signal: widget_a$bus$cmd$empty [INFO] [stdout] Signal: bus$cmd$output$next [INFO] [stdout] Signal: widget_a$bus$cmd$output [INFO] [stdout] Signal: widget_a$bus$cmd$read$next [INFO] [stdout] Signal: bus$cmd$read [INFO] [stdout] Signal: bus$data$underflow$next [INFO] [stdout] Signal: widget_a$bus$data$underflow [INFO] [stdout] Signal: bus$data$almost_empty$next [INFO] [stdout] Signal: widget_a$bus$data$almost_empty [INFO] [stdout] Signal: bus$data$empty$next [INFO] [stdout] Signal: widget_a$bus$data$empty [INFO] [stdout] Signal: bus$data$output$next [INFO] [stdout] Signal: widget_a$bus$data$output [INFO] [stdout] Signal: widget_a$bus$data$read$next [INFO] [stdout] Signal: bus$data$read [INFO] [stdout] Signal: bus$cmd$underflow$next [INFO] [stdout] Signal: widget_b$bus$cmd$underflow [INFO] [stdout] Signal: bus$cmd$almost_empty$next [INFO] [stdout] Signal: widget_b$bus$cmd$almost_empty [INFO] [stdout] Signal: bus$cmd$empty$next [INFO] [stdout] Signal: widget_b$bus$cmd$empty [INFO] [stdout] Signal: bus$cmd$output$next [INFO] [stdout] Signal: widget_b$bus$cmd$output [INFO] [stdout] Signal: widget_b$bus$cmd$read$next [INFO] [stdout] Signal: bus$cmd$read [INFO] [stdout] Signal: bus$data$underflow$next [INFO] [stdout] Signal: widget_b$bus$data$underflow [INFO] [stdout] Signal: bus$data$almost_empty$next [INFO] [stdout] Signal: widget_b$bus$data$almost_empty [INFO] [stdout] Signal: bus$data$empty$next [INFO] [stdout] Signal: widget_b$bus$data$empty [INFO] [stdout] Signal: bus$data$output$next [INFO] [stdout] Signal: widget_b$bus$data$output [INFO] [stdout] Signal: widget_b$bus$data$read$next [INFO] [stdout] Signal: bus$data$read [INFO] [stdout] Code [INFO] [stdout] begin [INFO] [stdout] widget_a$clock = clock; [INFO] [stdout] widget_b$clock = clock; [INFO] [stdout] if (select) begin [INFO] [stdout] bus$cmd$underflow = widget_a$bus$cmd$underflow; [INFO] [stdout] bus$cmd$almost_empty = widget_a$bus$cmd$almost_empty; [INFO] [stdout] bus$cmd$empty = widget_a$bus$cmd$empty; [INFO] [stdout] bus$cmd$output = widget_a$bus$cmd$output + 32'h1; [INFO] [stdout] widget_a$bus$cmd$read = bus$cmd$read; [INFO] [stdout] bus$data$underflow = widget_a$bus$data$underflow; [INFO] [stdout] bus$data$almost_empty = widget_a$bus$data$almost_empty; [INFO] [stdout] bus$data$empty = widget_a$bus$data$empty; [INFO] [stdout] bus$data$output = widget_a$bus$data$output; [INFO] [stdout] widget_a$bus$data$read = bus$data$read; [INFO] [stdout] end [INFO] [stdout] else begin [INFO] [stdout] bus$cmd$underflow = widget_b$bus$cmd$underflow; [INFO] [stdout] bus$cmd$almost_empty = widget_b$bus$cmd$almost_empty; [INFO] [stdout] bus$cmd$empty = widget_b$bus$cmd$empty; [INFO] [stdout] bus$cmd$output = widget_b$bus$cmd$output; [INFO] [stdout] widget_b$bus$cmd$read = bus$cmd$read; [INFO] [stdout] bus$data$underflow = widget_b$bus$data$underflow; [INFO] [stdout] bus$data$almost_empty = widget_b$bus$data$almost_empty; [INFO] [stdout] bus$data$empty = widget_b$bus$data$empty; [INFO] [stdout] bus$data$output = widget_b$bus$data$output; [INFO] [stdout] widget_b$bus$data$read = bus$data$read; [INFO] [stdout] end [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] thread 'nested_ports::test_write_modules_nested_ports' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/nested_ports.rs:113:44 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c25fa0bae - rust_hdl_test_core::nested_ports::test_write_modules_nested_ports::ha1563e956a0a36e1 [INFO] [stdout] at /opt/rustwide/workdir/src/nested_ports.rs:113:19 [INFO] [stdout] 18: 0x562c25fa07ea - rust_hdl_test_core::nested_ports::test_write_modules_nested_ports::{{closure}}::ha0a2112410b06eb7 [INFO] [stdout] at /opt/rustwide/workdir/src/nested_ports.rs:14:1 [INFO] [stdout] 19: 0x562c260402ae - core::ops::function::FnOnce::call_once::h42cc6da5c099c6bd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- rom::test_synthesis_rom stdout ---- [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top(); [INFO] [stdout] [INFO] [stdout] // Stub signals [INFO] [stdout] reg [3:0] rom$address; [INFO] [stdout] wire [3:0] rom$data; [INFO] [stdout] [INFO] [stdout] // Sub module instances [INFO] [stdout] top$rom rom(.address(rom$address),.data(rom$data)); [INFO] [stdout] endmodule // top [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top$rom(address,data); [INFO] [stdout] [INFO] [stdout] // Module arguments [INFO] [stdout] input wire [3:0] address; [INFO] [stdout] output reg [3:0] data; [INFO] [stdout] [INFO] [stdout] // Update code (custom) [INFO] [stdout] always @* [INFO] [stdout] case (address) [INFO] [stdout] 4'h0: data = 4'hf; [INFO] [stdout] 4'h1: data = 4'he; [INFO] [stdout] 4'h2: data = 4'hd; [INFO] [stdout] 4'h3: data = 4'hc; [INFO] [stdout] 4'h4: data = 4'hb; [INFO] [stdout] 4'h5: data = 4'ha; [INFO] [stdout] 4'h6: data = 4'h9; [INFO] [stdout] 4'h7: data = 4'h8; [INFO] [stdout] 4'h8: data = 4'h7; [INFO] [stdout] 4'h9: data = 4'h6; [INFO] [stdout] 4'ha: data = 4'h5; [INFO] [stdout] 4'hb: data = 4'h4; [INFO] [stdout] 4'hc: data = 4'h3; [INFO] [stdout] 4'hd: data = 4'h2; [INFO] [stdout] 4'he: data = 4'h1; [INFO] [stdout] 4'hf: data = 4'h0; [INFO] [stdout] default: data = 4'h0; [INFO] [stdout] endcase [INFO] [stdout] [INFO] [stdout] endmodule // top$rom [INFO] [stdout] [INFO] [stdout] thread 'rom::test_synthesis_rom' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c25fff67b - rust_hdl_test_core::rom::test_synthesis_rom::h2703173e53928732 [INFO] [stdout] at /opt/rustwide/workdir/src/rom.rs:33:5 [INFO] [stdout] 19: 0x562c25fff50a - rust_hdl_test_core::rom::test_synthesis_rom::{{closure}}::h12295bd6f13e525c [INFO] [stdout] at /opt/rustwide/workdir/src/rom.rs:27:1 [INFO] [stdout] 20: 0x562c2603ed4e - core::ops::function::FnOnce::call_once::h0b7f13f9ba9ed566 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_fifo_works_synchronous_fifo stdout ---- [INFO] [stdout] thread 'fifo::test_fifo_works_synchronous_fifo' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c260ce0ad - rust_hdl_test_core::fifo::test_fifo_works_synchronous_fifo::hed364ad29b161af9 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:280:5 [INFO] [stdout] 19: 0x562c260cdf8a - rust_hdl_test_core::fifo::test_fifo_works_synchronous_fifo::{{closure}}::h9cb81617f72fcf46 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:273:1 [INFO] [stdout] 20: 0x562c26044dce - core::ops::function::FnOnce::call_once::hf3349af45e7cbeaa [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_fifo_can_be_filled_synchronous_fifo stdout ---- [INFO] [stdout] thread 'fifo::test_fifo_can_be_filled_synchronous_fifo' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c260cbcc5 - rust_hdl_test_core::fifo::test_fifo_can_be_filled_synchronous_fifo::h76a0d91ebb0871ee [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:235:5 [INFO] [stdout] 19: 0x562c260cbbaa - rust_hdl_test_core::fifo::test_fifo_can_be_filled_synchronous_fifo::{{closure}}::h35ca8f71011f840b [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:228:1 [INFO] [stdout] 20: 0x562c2603e9fe - core::ops::function::FnOnce::call_once::h042a42665b8965a6 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_sync_vec stdout ---- [INFO] [stdout] thread 'fifo::test_sync_vec' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c260c380a - rust_hdl_test_core::fifo::test_sync_vec::hfa3a19998c1ffb9e [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:34:5 [INFO] [stdout] 19: 0x562c260c36fa - rust_hdl_test_core::fifo::test_sync_vec::{{closure}}::h097c2b12b529311d [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:27:1 [INFO] [stdout] 20: 0x562c260440ee - core::ops::function::FnOnce::call_once::hd1e5d2d757bd901a [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- test_shot stdout ---- [INFO] [stdout] thread 'test_shot' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/lib.rs:94:43 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c262a322b - rust_hdl_test_core::test_shot::ha37f2b054c8d6d2d [INFO] [stdout] at /opt/rustwide/workdir/src/lib.rs:94:9 [INFO] [stdout] 18: 0x562c262a301a - rust_hdl_test_core::test_shot::{{closure}}::h9ed6378ecd80184c [INFO] [stdout] at /opt/rustwide/workdir/src/lib.rs:72:1 [INFO] [stdout] 19: 0x562c2604051e - core::ops::function::FnOnce::call_once::h4817a3f3a696cc00 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- test_strobe stdout ---- [INFO] [stdout] thread 'test_strobe' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 30, kind: ReadOnlyFilesystem, message: "Read-only file system" }', src/lib.rs:67:71 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c2635713d - core::result::Result::unwrap::h6dcac39b64186ce5 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c262a2a9e - rust_hdl_test_core::test_strobe::he118d81efa574a0d [INFO] [stdout] at /opt/rustwide/workdir/src/lib.rs:67:44 [INFO] [stdout] 18: 0x562c262a28aa - rust_hdl_test_core::test_strobe::{{closure}}::hf94f7db5535442b2 [INFO] [stdout] at /opt/rustwide/workdir/src/lib.rs:51:1 [INFO] [stdout] 19: 0x562c260400fe - core::ops::function::FnOnce::call_once::h40338f0f3a78286b [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 20: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 22: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 24: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 26: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 27: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 29: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 30: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 31: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 32: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 33: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 35: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 36: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 37: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 38: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 41: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 42: 0x7f5d9a23e293 - clone [INFO] [stdout] 43: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_vector_synchronizer stdout ---- [INFO] [stdout] thread 'fifo::test_vector_synchronizer' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c260c5c3a - rust_hdl_test_core::fifo::test_vector_synchronizer::h28436ca3887aff6f [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:83:5 [INFO] [stdout] 19: 0x562c260c5b2a - rust_hdl_test_core::fifo::test_vector_synchronizer::{{closure}}::h6be656b679a83aa1 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:75:1 [INFO] [stdout] 20: 0x562c2603ea8e - core::ops::function::FnOnce::call_once::h055535233f3e1b09 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- sync_rom::test_synthesis_sync_rom stdout ---- [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top(); [INFO] [stdout] [INFO] [stdout] // Stub signals [INFO] [stdout] reg [3:0] rom$address; [INFO] [stdout] reg rom$clock; [INFO] [stdout] wire [3:0] rom$data; [INFO] [stdout] [INFO] [stdout] // Sub module instances [INFO] [stdout] top$rom rom(.address(rom$address),.clock(rom$clock),.data(rom$data)); [INFO] [stdout] endmodule // top [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top$rom(address,clock,data); [INFO] [stdout] [INFO] [stdout] // Module arguments [INFO] [stdout] input wire [3:0] address; [INFO] [stdout] input wire clock; [INFO] [stdout] output reg [3:0] data; [INFO] [stdout] [INFO] [stdout] // Update code (custom) [INFO] [stdout] reg[3:0] mem [15:0]; [INFO] [stdout] [INFO] [stdout] initial begin [INFO] [stdout] mem[4'h0] = 4'hf; [INFO] [stdout] mem[4'h1] = 4'he; [INFO] [stdout] mem[4'h2] = 4'hd; [INFO] [stdout] mem[4'h3] = 4'hc; [INFO] [stdout] mem[4'h4] = 4'hb; [INFO] [stdout] mem[4'h5] = 4'ha; [INFO] [stdout] mem[4'h6] = 4'h9; [INFO] [stdout] mem[4'h7] = 4'h8; [INFO] [stdout] mem[4'h8] = 4'h7; [INFO] [stdout] mem[4'h9] = 4'h6; [INFO] [stdout] mem[4'ha] = 4'h5; [INFO] [stdout] mem[4'hb] = 4'h4; [INFO] [stdout] mem[4'hc] = 4'h3; [INFO] [stdout] mem[4'hd] = 4'h2; [INFO] [stdout] mem[4'he] = 4'h1; [INFO] [stdout] mem[4'hf] = 4'h0; [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] always @(posedge clock) begin [INFO] [stdout] data <= mem[address]; [INFO] [stdout] end [INFO] [stdout] endmodule // top$rom [INFO] [stdout] [INFO] [stdout] thread 'sync_rom::test_synthesis_sync_rom' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stderr] error: test failed, to rerun pass '--lib' [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c260de02d - rust_hdl_test_core::sync_rom::test_synthesis_sync_rom::hf98dac40cd2c9427 [INFO] [stdout] at /opt/rustwide/workdir/src/sync_rom.rs:35:5 [INFO] [stdout] 19: 0x562c260ddeaa - rust_hdl_test_core::sync_rom::test_synthesis_sync_rom::{{closure}}::h350a076b8da026b5 [INFO] [stdout] at /opt/rustwide/workdir/src/sync_rom.rs:28:1 [INFO] [stdout] 20: 0x562c2603f76e - core::ops::function::FnOnce::call_once::h2176724b810bc5b6 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- test_strobe_as_verilog stdout ---- [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top(enable,strobe,clock); [INFO] [stdout] [INFO] [stdout] // Module arguments [INFO] [stdout] input wire enable; [INFO] [stdout] output reg strobe; [INFO] [stdout] input wire clock; [INFO] [stdout] [INFO] [stdout] // Constant declarations [INFO] [stdout] localparam threshold = 32'h186a0; [INFO] [stdout] [INFO] [stdout] // Stub signals [INFO] [stdout] reg [31:0] counter$d; [INFO] [stdout] wire [31:0] counter$q; [INFO] [stdout] reg counter$clk; [INFO] [stdout] [INFO] [stdout] // Sub module instances [INFO] [stdout] top$counter counter(.d(counter$d),.q(counter$q),.clk(counter$clk)); [INFO] [stdout] [INFO] [stdout] // Update code [INFO] [stdout] always @(*) begin [INFO] [stdout] counter$clk = clock; [INFO] [stdout] counter$d = counter$q; [INFO] [stdout] if (enable) begin [INFO] [stdout] counter$d = counter$q + 32'h1; [INFO] [stdout] end [INFO] [stdout] strobe = enable & (counter$q == threshold); [INFO] [stdout] if (strobe) begin [INFO] [stdout] counter$d = 32'h1; [INFO] [stdout] end [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] endmodule // top [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] module top$counter(d,q,clk); [INFO] [stdout] [INFO] [stdout] // Module arguments [INFO] [stdout] input wire [31:0] d; [INFO] [stdout] output reg [31:0] q; [INFO] [stdout] input wire clk; [INFO] [stdout] [INFO] [stdout] // Update code (custom) [INFO] [stdout] initial begin [INFO] [stdout] q = 32'h0; [INFO] [stdout] end [INFO] [stdout] [INFO] [stdout] always @(posedge clk) q <= d; [INFO] [stdout] endmodule // top$counter [INFO] [stdout] [INFO] [stdout] thread 'test_strobe_as_verilog' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c262a2820 - rust_hdl_test_core::test_strobe_as_verilog::h7bcbc6bbd0e027d0 [INFO] [stdout] at /opt/rustwide/workdir/src/lib.rs:47:5 [INFO] [stdout] 19: 0x562c262a264a - rust_hdl_test_core::test_strobe_as_verilog::{{closure}}::h3796d2f9639223fb [INFO] [stdout] at /opt/rustwide/workdir/src/lib.rs:39:1 [INFO] [stdout] 20: 0x562c2604142e - core::ops::function::FnOnce::call_once::h699dc9355d578fbf [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- spi::test_spi_txn_completes stdout ---- [INFO] [stdout] thread 'spi::test_spi_txn_completes' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c261acd7c - rust_hdl_test_core::spi::test_spi_txn_completes::hf7a75e87496b7ff2 [INFO] [stdout] at /opt/rustwide/workdir/src/spi.rs:49:5 [INFO] [stdout] 19: 0x562c261acc4a - rust_hdl_test_core::spi::test_spi_txn_completes::{{closure}}::hc373007640e16483 [INFO] [stdout] at /opt/rustwide/workdir/src/spi.rs:40:1 [INFO] [stdout] 20: 0x562c2603f0fe - core::ops::function::FnOnce::call_once::h1518b8667b753326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_fifo_reducer_works stdout ---- [INFO] [stdout] thread 'fifo::test_fifo_reducer_works' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c260d9485 - rust_hdl_test_core::fifo::test_fifo_reducer_works::h16b2729327fde376 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:507:5 [INFO] [stdout] 19: 0x562c260d934a - rust_hdl_test_core::fifo::test_fifo_reducer_works::{{closure}}::h8a15c3135167580d [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:500:1 [INFO] [stdout] 20: 0x562c26044d3e - core::ops::function::FnOnce::call_once::hf2bc3ac73cdc395b [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- fifo::test_fifo_works_asynchronous_fifo stdout ---- [INFO] [stdout] thread 'fifo::test_fifo_works_asynchronous_fifo' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c260d262d - rust_hdl_test_core::fifo::test_fifo_works_asynchronous_fifo::hdaf41dbe1afa2127 [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:361:5 [INFO] [stdout] 19: 0x562c260d24fa - rust_hdl_test_core::fifo::test_fifo_works_asynchronous_fifo::{{closure}}::h949fbd66bd7e4f6f [INFO] [stdout] at /opt/rustwide/workdir/src/fifo.rs:353:1 [INFO] [stdout] 20: 0x562c26043fbe - core::ops::function::FnOnce::call_once::hcfc047155604aabc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 21: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 23: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 25: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 27: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 28: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 30: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 31: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 32: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 33: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 34: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 36: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 37: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 38: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 39: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 42: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 43: 0x7f5d9a23e293 - clone [INFO] [stdout] 44: 0x0 - [INFO] [stdout] [INFO] [stdout] ---- spi::test_spi_xchange_modes stdout ---- [INFO] [stdout] thread 'spi::test_spi_xchange_modes' panicked at 'called `Result::unwrap()` on an `Err` value: Os { code: 2, kind: NotFound, message: "No such file or directory" }', /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:34:10 [INFO] [stdout] stack backtrace: [INFO] [stdout] 0: 0x562c265f0afc - std::backtrace_rs::backtrace::libunwind::trace::h7630ba4cba718aa0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/libunwind.rs:93:5 [INFO] [stdout] 1: 0x562c265f0afc - std::backtrace_rs::backtrace::trace_unsynchronized::he7498e79c157f5ac [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5 [INFO] [stdout] 2: 0x562c265f0afc - std::sys_common::backtrace::_print_fmt::hdaebadaee17bca49 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:67:5 [INFO] [stdout] 3: 0x562c265f0afc - ::fmt::h82b0e3aaf8a96140 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:46:22 [INFO] [stdout] 4: 0x562c2661654c - core::fmt::write::h72801a82c94e6ff1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/fmt/mod.rs:1149:17 [INFO] [stdout] 5: 0x562c265ec8f5 - std::io::Write::write_fmt::h21d7683cabdb4c35 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/io/mod.rs:1697:15 [INFO] [stdout] 6: 0x562c265f2970 - std::sys_common::backtrace::_print::h1c9a1d19c48821c1 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:49:5 [INFO] [stdout] 7: 0x562c265f2970 - std::sys_common::backtrace::print::h7ce8802039fa9d0e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:36:9 [INFO] [stdout] 8: 0x562c265f2970 - std::panicking::default_hook::{{closure}}::hb2a74a8c1499c326 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:211:50 [INFO] [stdout] 9: 0x562c265f2556 - std::panicking::default_hook::hf4f180b00076f2b2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:225:9 [INFO] [stdout] 10: 0x562c265f3024 - std::panicking::rust_panic_with_hook::he85ce8435493b711 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:606:17 [INFO] [stdout] 11: 0x562c265f2b00 - std::panicking::begin_panic_handler::{{closure}}::h31e15f69e6235bd2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:502:13 [INFO] [stdout] 12: 0x562c265f0fb4 - std::sys_common::backtrace::__rust_end_short_backtrace::hfce2fadb61aaa3ae [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:139:18 [INFO] [stdout] 13: 0x562c265f2a69 - rust_begin_unwind [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:498:5 [INFO] [stdout] 14: 0x562c25ed3da1 - core::panicking::panic_fmt::h7b8580d81fcbbacd [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panicking.rs:107:14 [INFO] [stdout] 15: 0x562c25ed3e93 - core::result::unwrap_failed::h885d3f7beb571353 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1613:5 [INFO] [stdout] 16: 0x562c263571ea - core::result::Result::unwrap::ha65cfa127fc023bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/result.rs:1295:23 [INFO] [stdout] 17: 0x562c263522a4 - rust_hdl_yosys_synth::yosys_validate::h6d86b45c5bea5e7c [INFO] [stdout] at /opt/rustwide/cargo-home/registry/src/github.com-1ecc6299db9ec823/rust-hdl-yosys-synth-0.1.0/src/lib.rs:28:18 [INFO] [stdout] 18: 0x562c261a6036 - rust_hdl_test_core::spi::test_spi_xchange::h1c57d807ad27ebce [INFO] [stdout] at /opt/rustwide/workdir/src/spi.rs:139:5 [INFO] [stdout] 19: 0x562c261af549 - rust_hdl_test_core::spi::test_spi_xchange_modes::hec5709e39894993d [INFO] [stdout] at /opt/rustwide/workdir/src/spi.rs:115:5 [INFO] [stdout] 20: 0x562c261af4fa - rust_hdl_test_core::spi::test_spi_xchange_modes::{{closure}}::h508b0c16b59969bb [INFO] [stdout] at /opt/rustwide/workdir/src/spi.rs:114:1 [INFO] [stdout] 21: 0x562c2603f18e - core::ops::function::FnOnce::call_once::h16a5c3564cbc24a6 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 22: 0x562c2630f4a3 - core::ops::function::FnOnce::call_once::h449577f1c5b077cb [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 23: 0x562c2630f4a3 - test::__rust_begin_short_backtrace::h8c2a0a5090591869 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:585:5 [INFO] [stdout] 24: 0x562c2630e037 - as core::ops::function::FnOnce>::call_once::hea00a22128a38543 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 25: 0x562c2630e037 - as core::ops::function::FnOnce<()>>::call_once::he10b35c3c50d78a0 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 26: 0x562c2630e037 - std::panicking::try::do_call::hc868e78bbc5af2ab [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 27: 0x562c2630e037 - std::panicking::try::he468aede74df1b04 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 28: 0x562c2630e037 - std::panic::catch_unwind::hce3c9152e1cf772d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 29: 0x562c2630e037 - test::run_test_in_process::h9c4ab8162080cf8c [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:608:18 [INFO] [stdout] 30: 0x562c2630e037 - test::run_test::run_test_inner::{{closure}}::he9483433cef16afe [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:500:39 [INFO] [stdout] 31: 0x562c262db37e - test::run_test::run_test_inner::{{closure}}::h479f1f872a5501ea [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/test/src/lib.rs:527:37 [INFO] [stdout] 32: 0x562c262db37e - std::sys_common::backtrace::__rust_begin_short_backtrace::h0f1e9b1f279687bc [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys_common/backtrace.rs:123:18 [INFO] [stdout] 33: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::{{closure}}::he5560613c5f5cb83 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:484:17 [INFO] [stdout] 34: 0x562c262e01a8 - as core::ops::function::FnOnce<()>>::call_once::h8190a68cb05ab92f [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/panic/unwind_safe.rs:271:9 [INFO] [stdout] 35: 0x562c262e01a8 - std::panicking::try::do_call::h6ae22f5ac22596e4 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:406:40 [INFO] [stdout] 36: 0x562c262e01a8 - std::panicking::try::h2381c25487d6a7c2 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panicking.rs:370:19 [INFO] [stdout] 37: 0x562c262e01a8 - std::panic::catch_unwind::hfe902f4d5c9d7b6d [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/panic.rs:133:14 [INFO] [stdout] 38: 0x562c262e01a8 - std::thread::Builder::spawn_unchecked::{{closure}}::h547fad40771a584e [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/thread/mod.rs:483:30 [INFO] [stdout] 39: 0x562c262e01a8 - core::ops::function::FnOnce::call_once{{vtable.shim}}::he8602a9971738410 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/core/src/ops/function.rs:227:5 [INFO] [stdout] 40: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::he162a5c338a10a39 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 41: 0x562c265f87a3 - as core::ops::function::FnOnce>::call_once::hb27497b21740dd97 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/alloc/src/boxed.rs:1694:9 [INFO] [stdout] 42: 0x562c265f87a3 - std::sys::unix::thread::Thread::new::thread_start::he467e990e49c5136 [INFO] [stdout] at /rustc/a77da2d454e6caa227a85b16410b95f93495e7e0/library/std/src/sys/unix/thread.rs:106:17 [INFO] [stdout] 43: 0x7f5d99fb3609 - start_thread [INFO] [stdout] 44: 0x7f5d9a23e293 - clone [INFO] [stdout] 45: 0x0 - [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] failures: [INFO] [stdout] edge_detector::test_falling_edge_detector_works [INFO] [stdout] edge_detector::test_rising_edge_detector_works [INFO] [stdout] expander::test_expander_works [INFO] [stdout] expander::test_expander_works_with_lsw_first [INFO] [stdout] expander::test_fatten_works [INFO] [stdout] fifo::test_almost_empty_is_accurate_in_large_async_fifo [INFO] [stdout] fifo::test_almost_empty_is_accurate_in_large_fifo [INFO] [stdout] fifo::test_almost_empty_is_accurate_synchronous_fifo [INFO] [stdout] fifo::test_fifo_can_be_filled_synchronous_fifo [INFO] [stdout] fifo::test_fifo_reducer_works [INFO] [stdout] fifo::test_fifo_works_asynchronous_fifo [INFO] [stdout] fifo::test_fifo_works_synchronous_fifo [INFO] [stdout] fifo::test_sync_vec [INFO] [stdout] fifo::test_vector_synchronizer [INFO] [stdout] nested_ports::test_write_modules_nested_ports [INFO] [stdout] pwm::test_pwm_circuit [INFO] [stdout] ram::test_ram_works [INFO] [stdout] ram::test_synthesis_ram [INFO] [stdout] rom::test_rom_works [INFO] [stdout] rom::test_synthesis_rom [INFO] [stdout] spi::test_spi_txn_completes [INFO] [stdout] spi::test_spi_xchange_modes [INFO] [stdout] sync_rom::test_synthesis_sync_rom [INFO] [stdout] test_shot [INFO] [stdout] test_strobe [INFO] [stdout] test_strobe_as_verilog [INFO] [stdout] [INFO] [stdout] test result: FAILED. 8 passed; 26 failed; 0 ignored; 0 measured; 0 filtered out; finished in 11.48s [INFO] [stdout] [INFO] running `Command { std: "docker" "inspect" "72aa87e41cebc3ef7de14b1f098a629b0de410ed138a45b83664ccf38987242b", kill_on_drop: false }` [INFO] running `Command { std: "docker" "rm" "-f" "72aa87e41cebc3ef7de14b1f098a629b0de410ed138a45b83664ccf38987242b", kill_on_drop: false }` [INFO] [stdout] 72aa87e41cebc3ef7de14b1f098a629b0de410ed138a45b83664ccf38987242b