[INFO] fetching crate sdc-parser 0.1.1... [INFO] checking sdc-parser-0.1.1 against try#4d98531622e1718ae0bc3c7a1ab9cd8938428452+rustflags=-Dtail_expr_drop_order for pr-129604 [INFO] extracting crate sdc-parser 0.1.1 into /workspace/builds/worker-1-tc2/source [INFO] validating manifest of crates.io crate sdc-parser 0.1.1 on toolchain 4d98531622e1718ae0bc3c7a1ab9cd8938428452 [INFO] running `Command { std: CARGO_HOME="/workspace/cargo-home" RUSTUP_HOME="/workspace/rustup-home" "/workspace/cargo-home/bin/cargo" "+4d98531622e1718ae0bc3c7a1ab9cd8938428452" "metadata" "--manifest-path" "Cargo.toml" "--no-deps", kill_on_drop: false }` [INFO] started tweaking crates.io crate sdc-parser 0.1.1 [INFO] finished tweaking crates.io crate sdc-parser 0.1.1 [INFO] tweaked toml for crates.io crate sdc-parser 0.1.1 written to /workspace/builds/worker-1-tc2/source/Cargo.toml [INFO] running `Command { std: CARGO_HOME="/workspace/cargo-home" RUSTUP_HOME="/workspace/rustup-home" "/workspace/cargo-home/bin/cargo" "+4d98531622e1718ae0bc3c7a1ab9cd8938428452" "generate-lockfile" "--manifest-path" "Cargo.toml", kill_on_drop: false }` [INFO] [stderr] Updating crates.io index [INFO] [stderr] Blocking waiting for file lock on package cache [INFO] [stderr] Locking 65 packages to latest compatible versions [INFO] [stderr] Adding addr2line v0.22.0 (latest: v0.24.1) [INFO] [stderr] Adding ascii v0.9.3 (latest: v1.1.0) [INFO] [stderr] Adding cargo_metadata v0.14.2 (latest: v0.18.1) [INFO] [stderr] Adding combine v3.8.1 (latest: v4.6.7) [INFO] [stderr] Adding gimli v0.29.0 (latest: v0.31.0) [INFO] [stderr] Adding linux-raw-sys v0.4.14 (latest: v0.6.5) [INFO] [stderr] Adding miniz_oxide v0.7.4 (latest: v0.8.0) [INFO] [stderr] Adding pulldown-cmark v0.9.6 (latest: v0.12.0) [INFO] [stderr] Adding syn v1.0.109 (latest: v2.0.77) [INFO] [stderr] Adding synstructure v0.12.6 (latest: v0.13.1) [INFO] [stderr] Adding windows-sys v0.52.0 (latest: v0.59.0) [INFO] running `Command { std: CARGO_HOME="/workspace/cargo-home" RUSTUP_HOME="/workspace/rustup-home" "/workspace/cargo-home/bin/cargo" "+4d98531622e1718ae0bc3c7a1ab9cd8938428452" "fetch" "--manifest-path" "Cargo.toml", kill_on_drop: false }` [INFO] [stderr] Blocking waiting for file lock on package cache [INFO] running `Command { std: "docker" "create" "-v" "/var/lib/crater-agent-workspace/builds/worker-1-tc2/target:/opt/rustwide/target:rw,Z" "-v" "/var/lib/crater-agent-workspace/builds/worker-1-tc2/source:/opt/rustwide/workdir:ro,Z" "-v" "/var/lib/crater-agent-workspace/cargo-home:/opt/rustwide/cargo-home:ro,Z" "-v" "/var/lib/crater-agent-workspace/rustup-home:/opt/rustwide/rustup-home:ro,Z" "-e" "SOURCE_DIR=/opt/rustwide/workdir" "-e" "CARGO_TARGET_DIR=/opt/rustwide/target" "-e" "CARGO_HOME=/opt/rustwide/cargo-home" "-e" "RUSTUP_HOME=/opt/rustwide/rustup-home" "-w" "/opt/rustwide/workdir" "-m" "1610612736" "--user" "0:0" "--network" "none" "ghcr.io/rust-lang/crates-build-env/linux@sha256:923055f121b5182466d55868a8b05e67af8ba4a3a3f6bad814e953ca3cd3ac2a" "/opt/rustwide/cargo-home/bin/cargo" "+4d98531622e1718ae0bc3c7a1ab9cd8938428452" "metadata" "--no-deps" "--format-version=1", kill_on_drop: false }` [INFO] [stdout] 1f7df76435442fc96281a78635ebacb138499a67f48b4ce1460eb9b3270042b0 [INFO] running `Command { std: "docker" "start" "-a" "1f7df76435442fc96281a78635ebacb138499a67f48b4ce1460eb9b3270042b0", kill_on_drop: false }` [INFO] running `Command { std: "docker" "inspect" "1f7df76435442fc96281a78635ebacb138499a67f48b4ce1460eb9b3270042b0", kill_on_drop: false }` [INFO] running `Command { std: "docker" "rm" "-f" "1f7df76435442fc96281a78635ebacb138499a67f48b4ce1460eb9b3270042b0", kill_on_drop: false }` [INFO] [stdout] 1f7df76435442fc96281a78635ebacb138499a67f48b4ce1460eb9b3270042b0 [INFO] running `Command { std: "docker" "create" "-v" "/var/lib/crater-agent-workspace/builds/worker-1-tc2/target:/opt/rustwide/target:rw,Z" "-v" "/var/lib/crater-agent-workspace/builds/worker-1-tc2/source:/opt/rustwide/workdir:ro,Z" "-v" "/var/lib/crater-agent-workspace/cargo-home:/opt/rustwide/cargo-home:ro,Z" "-v" "/var/lib/crater-agent-workspace/rustup-home:/opt/rustwide/rustup-home:ro,Z" "-e" "SOURCE_DIR=/opt/rustwide/workdir" "-e" "CARGO_TARGET_DIR=/opt/rustwide/target" "-e" "CARGO_INCREMENTAL=0" "-e" "RUST_BACKTRACE=full" "-e" "RUSTFLAGS=--cap-lints=forbid -Dtail_expr_drop_order" "-e" "RUSTDOCFLAGS=--cap-lints=forbid" "-e" "CARGO_HOME=/opt/rustwide/cargo-home" "-e" "RUSTUP_HOME=/opt/rustwide/rustup-home" "-w" "/opt/rustwide/workdir" "-m" "1610612736" "--user" "0:0" "--network" "none" "ghcr.io/rust-lang/crates-build-env/linux@sha256:923055f121b5182466d55868a8b05e67af8ba4a3a3f6bad814e953ca3cd3ac2a" "/opt/rustwide/cargo-home/bin/cargo" "+4d98531622e1718ae0bc3c7a1ab9cd8938428452" "check" "--frozen" "--all" "--all-targets" "--message-format=json", kill_on_drop: false }` [INFO] [stdout] e7494ebdb45ac57b7b15cddecc13f3c0aa795a43f930a2cbf443a096caafdf7c [INFO] running `Command { std: "docker" "start" "-a" "e7494ebdb45ac57b7b15cddecc13f3c0aa795a43f930a2cbf443a096caafdf7c", kill_on_drop: false }` [INFO] [stderr] Compiling syn v2.0.77 [INFO] [stderr] Compiling unicase v2.7.0 [INFO] [stderr] Compiling camino v1.1.9 [INFO] [stderr] Compiling semver v1.0.23 [INFO] [stderr] Compiling rustix v0.38.35 [INFO] [stderr] Compiling serde_json v1.0.127 [INFO] [stderr] Checking memchr v2.7.4 [INFO] [stderr] Compiling error-chain v0.12.4 [INFO] [stderr] Compiling pulldown-cmark v0.9.6 [INFO] [stderr] Compiling bitflags v2.6.0 [INFO] [stderr] Compiling shlex v1.3.0 [INFO] [stderr] Compiling linux-raw-sys v0.4.14 [INFO] [stderr] Compiling itoa v1.0.11 [INFO] [stderr] Compiling syn v1.0.109 [INFO] [stderr] Compiling ryu v1.0.18 [INFO] [stderr] Compiling cc v1.1.15 [INFO] [stderr] Compiling libc v0.2.158 [INFO] [stderr] Compiling fastrand v2.1.1 [INFO] [stderr] Compiling once_cell v1.19.0 [INFO] [stderr] Compiling cfg-if v1.0.0 [INFO] [stderr] Compiling same-file v1.0.6 [INFO] [stderr] Compiling walkdir v2.5.0 [INFO] [stderr] Compiling unicode-xid v0.2.5 [INFO] [stderr] Checking gimli v0.29.0 [INFO] [stderr] Compiling glob v0.3.1 [INFO] [stderr] Compiling failure_derive v0.1.8 [INFO] [stderr] Checking adler v1.0.2 [INFO] [stderr] Compiling bytecount v0.6.8 [INFO] [stderr] Checking miniz_oxide v0.7.4 [INFO] [stderr] Checking object v0.36.4 [INFO] [stderr] Compiling backtrace v0.3.73 [INFO] [stderr] Checking void v1.0.2 [INFO] [stderr] Checking rustc-demangle v0.1.24 [INFO] [stderr] Checking unreachable v1.0.0 [INFO] [stderr] Checking ascii v0.9.3 [INFO] [stderr] Compiling tempfile v3.12.0 [INFO] [stderr] Compiling serde_derive v1.0.209 [INFO] [stderr] Compiling synstructure v0.12.6 [INFO] [stderr] Checking byteorder v1.5.0 [INFO] [stderr] Checking addr2line v0.22.0 [INFO] [stderr] Checking combine v3.8.1 [INFO] [stderr] Checking failure v0.1.8 [INFO] [stderr] Compiling serde v1.0.209 [INFO] [stderr] Compiling cargo-platform v0.1.8 [INFO] [stderr] Compiling cargo_metadata v0.14.2 [INFO] [stderr] Compiling skeptic v0.13.7 [INFO] [stderr] Compiling sdc-parser v0.1.1 (/opt/rustwide/workdir) [INFO] [stdout] warning: trait objects without an explicit `dyn` are deprecated [INFO] [stdout] --> src/lib.rs:108:32 [INFO] [stdout] | [INFO] [stdout] 108 | fn cause(&self) -> Option<&Fail> { [INFO] [stdout] | ^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this is accepted in the current edition (Rust 2018) but is a hard error in Rust 2021! [INFO] [stdout] = note: for more information, see [INFO] [stdout] = note: `#[warn(bare_trait_objects)]` on by default [INFO] [stdout] help: if this is an object-safe trait, use `dyn` [INFO] [stdout] | [INFO] [stdout] 108 | fn cause(&self) -> Option<&dyn Fail> { [INFO] [stdout] | +++ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] warning: trait objects without an explicit `dyn` are deprecated [INFO] [stdout] --> src/lib.rs:108:32 [INFO] [stdout] | [INFO] [stdout] 108 | fn cause(&self) -> Option<&Fail> { [INFO] [stdout] | ^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this is accepted in the current edition (Rust 2018) but is a hard error in Rust 2021! [INFO] [stdout] = note: for more information, see [INFO] [stdout] = note: `#[warn(bare_trait_objects)]` on by default [INFO] [stdout] help: if this is an object-safe trait, use `dyn` [INFO] [stdout] | [INFO] [stdout] 108 | fn cause(&self) -> Option<&dyn Fail> { [INFO] [stdout] | +++ [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/lib.rs:136:20 [INFO] [stdout] | [INFO] [stdout] 134 | fn from(kind: ErrorKind) -> Error { [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 135 | Error { [INFO] [stdout] 136 | inner: Context::new(kind), [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] = note: requested on the command line with `-D tail-expr-drop-order` [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:81:5 [INFO] [stdout] | [INFO] [stdout] 64 | let items = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 81 | choice(items).parse_stream(input) [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:178:5 [INFO] [stdout] | [INFO] [stdout] 169 | let command = symbol("all_inputs"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 170 | let level_sensitive = symbol("-level_sensitive").map(|_| ObjectArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 171 | let edge_triggered = symbol("-edge_triggered").map(|_| ObjectArg::EdgeTriggered); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 172 | let clock = symbol("-clock").with(item()).map(|x| ObjectArg::Clock(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 173 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 178 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:254:5 [INFO] [stdout] | [INFO] [stdout] 245 | let command = symbol("all_outputs"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 246 | let level_sensitive = symbol("-level_sensitive").map(|_| ObjectArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 247 | let edge_triggered = symbol("-edge_triggered").map(|_| ObjectArg::EdgeTriggered); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 248 | let clock = symbol("-clock").with(item()).map(|x| ObjectArg::Clock(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 249 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 254 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:400:5 [INFO] [stdout] | [INFO] [stdout] 365 | let command = symbol("all_registers"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 366 | let no_hierarchy = symbol("-no_hierarchy").map(|_| ObjectArg::NoHierarchy); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 367 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 368 | let clock = symbol("-clock").with(item()).map(|x| ObjectArg::Clock(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 369 | let rise_clock = symbol("-rise_clock") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 372 | let fall_clock = symbol("-fall_clock") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 375 | let cells = symbol("-cells").map(|_| ObjectArg::Cells); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 376 | let data_pins = symbol("-data_pins").map(|_| ObjectArg::DataPins); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 377 | let clock_pins = symbol("-clock_pins").map(|_| ObjectArg::ClockPins); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 378 | let slave_clock_pins = symbol("-slave_clock_pins").map(|_| ObjectArg::SlaveClockPins); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 379 | let async_pins = symbol("-async_pins").map(|_| ObjectArg::AsyncPins); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 380 | let output_pins = symbol("-output_pins").map(|_| ObjectArg::OutputPins); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 381 | let level_sensitive = symbol("-level_sensitive").map(|_| ObjectArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 382 | let edge_triggered = symbol("-edge_triggered").map(|_| ObjectArg::EdgeTriggered); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 383 | let master_slave = symbol("-master_slave").map(|_| ObjectArg::MasterSlave); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 384 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 400 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:582:5 [INFO] [stdout] | [INFO] [stdout] 563 | let command = attempt(symbol("get_cells")).or(symbol("get_cell")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 564 | let hierarchical = symbol("-hierarchical").map(|_| ObjectArg::Hierarchical); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 565 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 566 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 567 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 568 | let of_objects = attempt(symbol("-of_objects")) [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 572 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 573 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 574 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 582 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:600:26 [INFO] [stdout] | [INFO] [stdout] 582 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 587 | let mut of_objects = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 600 | Object::GetCells(GetCells { [INFO] [stdout] | __________________________^ [INFO] [stdout] 601 | | hierarchical, [INFO] [stdout] 602 | | hsc, [INFO] [stdout] 603 | | regexp, [INFO] [stdout] ... | [INFO] [stdout] 606 | | patterns, [INFO] [stdout] 607 | | }) [INFO] [stdout] | |_________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:696:5 [INFO] [stdout] | [INFO] [stdout] 690 | let command = attempt(symbol("get_clocks")).or(symbol("get_clock")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 691 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 692 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 693 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 694 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 695 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 696 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:796:5 [INFO] [stdout] | [INFO] [stdout] 789 | let command = attempt(symbol("get_lib_cells")).or(symbol("get_lib_cell")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 790 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 791 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 792 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 793 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 794 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 795 | let args = (attempt(hsc), attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 796 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:896:5 [INFO] [stdout] | [INFO] [stdout] 890 | let command = attempt(symbol("get_lib_pins")).or(symbol("get_lib_pin")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 891 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 892 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 893 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 894 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 895 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 896 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:991:5 [INFO] [stdout] | [INFO] [stdout] 985 | let command = symbol("get_libs"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 986 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 987 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 988 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 989 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 990 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 991 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1111:5 [INFO] [stdout] | [INFO] [stdout] 1092 | let command = attempt(symbol("get_nets")).or(symbol("get_net")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1093 | let hierarchical = symbol("-hierarchical").map(|_| ObjectArg::Hierarchical); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1094 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1095 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1096 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1097 | let of_objects = attempt(symbol("-of_objects")) [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1101 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1102 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 1103 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1111 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1129:25 [INFO] [stdout] | [INFO] [stdout] 1111 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1116 | let mut of_objects = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1129 | Object::GetNets(GetNets { [INFO] [stdout] | _________________________^ [INFO] [stdout] 1130 | | hierarchical, [INFO] [stdout] 1131 | | hsc, [INFO] [stdout] 1132 | | regexp, [INFO] [stdout] ... | [INFO] [stdout] 1135 | | patterns, [INFO] [stdout] 1136 | | }) [INFO] [stdout] | |_________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1250:5 [INFO] [stdout] | [INFO] [stdout] 1231 | let command = attempt(symbol("get_pins")).or(symbol("get_pin")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1232 | let hierarchical = symbol("-hierarchical").map(|_| ObjectArg::Hierarchical); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1233 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1234 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1235 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1236 | let of_objects = attempt(symbol("-of_objects")) [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1240 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1241 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 1242 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1250 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1268:25 [INFO] [stdout] | [INFO] [stdout] 1250 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1255 | let mut of_objects = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1268 | Object::GetPins(GetPins { [INFO] [stdout] | _________________________^ [INFO] [stdout] 1269 | | hierarchical, [INFO] [stdout] 1270 | | hsc, [INFO] [stdout] 1271 | | regexp, [INFO] [stdout] ... | [INFO] [stdout] 1274 | | patterns, [INFO] [stdout] 1275 | | }) [INFO] [stdout] | |_________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1364:5 [INFO] [stdout] | [INFO] [stdout] 1358 | let command = attempt(symbol("get_ports")).or(symbol("get_port")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1359 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1360 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1361 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1362 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 1363 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1364 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1436:5 [INFO] [stdout] | [INFO] [stdout] 1435 | let command = symbol("list"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1436 | brackets(command.with(many1(parser(object)))).map(|x| Object::List(List { objects: x })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1436:72 [INFO] [stdout] | [INFO] [stdout] 1436 | brackets(command.with(many1(parser(object)))).map(|x| Object::List(List { objects: x })) [INFO] [stdout] | - ^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:383:12 [INFO] [stdout] | [INFO] [stdout] 304 | let c = ( [INFO] [stdout] | - these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 310 | let g = (group_path(),); [INFO] [stdout] | - these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 311 | let set = (attempt(set_sdc_version()), attempt(set())); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 312 | let set_ca = (set_case_analysis(),); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 313 | let set_cl = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 321 | let set_d = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 327 | let set_f = (attempt(set_false_path()), attempt(set_fanout_load())); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 328 | let set_id = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 333 | let set_in = (attempt(set_input_delay()), attempt(set_input_transition())); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 334 | let set_le = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 338 | let set_lo = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 344 | let set_ma = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 354 | let set_mi = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 360 | let set_mu = (set_multicycle_path(),); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 361 | let set_o = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 365 | let set_p = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 369 | let set_w = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 375 | let set__ = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 383 | choice(( [INFO] [stdout] | ____________^ [INFO] [stdout] 384 | | look_ahead(space()).with(whitespace()), [INFO] [stdout] 385 | | look_ahead(char('\n')).with(linebreak()), [INFO] [stdout] 386 | | look_ahead(string("\r\n")).with(linebreak()), [INFO] [stdout] ... | [INFO] [stdout] 405 | | attempt(choice(set__)), [INFO] [stdout] 406 | | )) [INFO] [stdout] | |_____^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:503:5 [INFO] [stdout] | [INFO] [stdout] 482 | let command = symbol("create_clock"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 483 | let period = symbol("-period") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 486 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 487 | let waveform = symbol("-waveform") [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 490 | let add = symbol("-add").map(|_| CommandArg::Add); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 491 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 495 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 503 | / command [INFO] [stdout] 504 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:526:16 [INFO] [stdout] | [INFO] [stdout] 505 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 511 | let mut source_objects = None; [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 526 | Ok(Command::CreateClock(CreateClock { [INFO] [stdout] | ________________^ [INFO] [stdout] 527 | | period, [INFO] [stdout] 528 | | name, [INFO] [stdout] 529 | | waveform, [INFO] [stdout] ... | [INFO] [stdout] 532 | | source_objects, [INFO] [stdout] 533 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:686:5 [INFO] [stdout] | [INFO] [stdout] 641 | let command = symbol("create_generated_clock"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 642 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 643 | let source = symbol("-source") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 646 | let edges = symbol("-edges") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 649 | let divide_by = symbol("-divide_by") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 652 | let multiply_by = symbol("-multiply_by") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 655 | let duty_cycle = symbol("-duty_cycle") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 658 | let invert = symbol("-invert").map(|_| CommandArg::Invert); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 659 | let edge_shift = symbol("-edge_shift") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 662 | let add = symbol("-add").map(|_| CommandArg::Add); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 663 | let master_clock = symbol("-master_clock") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 666 | let combinational = symbol("-combinational").map(|_| CommandArg::Combinational); [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 667 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 671 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 686 | / command [INFO] [stdout] 687 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:726:16 [INFO] [stdout] | [INFO] [stdout] 688 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 689 | let mut name = None; [INFO] [stdout] 690 | let mut source = None; [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 698 | let mut master_clock = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 701 | let mut source_objects = None; [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 720 | let source = source.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 723 | let source_objects = source_objects.ok_or( [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 726 | Ok(Command::CreateGeneratedClock(CreateGeneratedClock { [INFO] [stdout] | ________________^ [INFO] [stdout] 727 | | name, [INFO] [stdout] 728 | | source, [INFO] [stdout] 729 | | edges, [INFO] [stdout] ... | [INFO] [stdout] 739 | | source_objects, [INFO] [stdout] 740 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:838:5 [INFO] [stdout] | [INFO] [stdout] 819 | let command = symbol("create_voltage_area"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 820 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 821 | let coordinate = symbol("-coordinate") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 824 | let guard_band_x = symbol("-guard_band_x") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 827 | let guard_band_y = symbol("-guard_band_y") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 831 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 838 | / command [INFO] [stdout] 839 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:862:16 [INFO] [stdout] | [INFO] [stdout] 840 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 845 | let mut cell_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 859 | let cell_list = cell_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 862 | Ok(Command::CreateVoltageArea(CreateVoltageArea { [INFO] [stdout] | ________________^ [INFO] [stdout] 863 | | name, [INFO] [stdout] 864 | | coordinate, [INFO] [stdout] 865 | | guard_band_x, [INFO] [stdout] 866 | | guard_band_y, [INFO] [stdout] 867 | | cell_list, [INFO] [stdout] 868 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:918:5 [INFO] [stdout] | [INFO] [stdout] 915 | let command = symbol("current_instance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 916 | let instance = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 917 | let args = (attempt(instance),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 918 | / command [INFO] [stdout] 919 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:928:16 [INFO] [stdout] | [INFO] [stdout] 920 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 928 | Ok(Command::CurrentInstance(CurrentInstance { instance })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1068:5 [INFO] [stdout] | [INFO] [stdout] 1017 | let command = symbol("group_path"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1018 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1019 | let default = symbol("-default").map(|_| CommandArg::Default); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1020 | let weight = symbol("-weight") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1023 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1026 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1029 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1032 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1035 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1038 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1041 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1044 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1047 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1050 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1053 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1068 | / command [INFO] [stdout] 1069 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1102:16 [INFO] [stdout] | [INFO] [stdout] 1070 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1074 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1075 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1076 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1077 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1078 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1079 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1080 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1081 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1082 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1102 | Ok(Command::GroupPath(GroupPath { [INFO] [stdout] | ________________^ [INFO] [stdout] 1103 | | name, [INFO] [stdout] 1104 | | default, [INFO] [stdout] 1105 | | weight, [INFO] [stdout] ... | [INFO] [stdout] 1115 | | comment, [INFO] [stdout] 1116 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1191:26 [INFO] [stdout] | [INFO] [stdout] 1190 | .map(|(x, y)| { [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1191 | Command::Set(Set { [INFO] [stdout] | __________________________^ [INFO] [stdout] 1192 | | variable_name: x, [INFO] [stdout] 1193 | | value: y, [INFO] [stdout] 1194 | | }) [INFO] [stdout] | |_____________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1280:5 [INFO] [stdout] | [INFO] [stdout] 1264 | let command = symbol("set_case_analysis"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1265 | let value = choice(( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1279 | let args = (attempt(value), attempt(port_or_pin_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1280 | / command [INFO] [stdout] 1281 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1298:16 [INFO] [stdout] | [INFO] [stdout] 1282 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1283 | let mut value = None; [INFO] [stdout] 1284 | let mut port_or_pin_list = None; [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1295 | let port_or_pin_list = port_or_pin_list.ok_or( [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1298 | Ok(Command::SetCaseAnalysis(SetCaseAnalysis { [INFO] [stdout] | ________________^ [INFO] [stdout] 1299 | | value, [INFO] [stdout] 1300 | | port_or_pin_list, [INFO] [stdout] 1301 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1423:5 [INFO] [stdout] | [INFO] [stdout] 1402 | let command = symbol("set_clock_gating_check"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1403 | let setup = symbol("-setup") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1406 | let hold = symbol("-hold") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1409 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1410 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1411 | let high = symbol("-high").map(|_| CommandArg::High); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1412 | let low = symbol("-low").map(|_| CommandArg::Low); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1413 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 1414 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1423 | / command [INFO] [stdout] 1424 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1445:16 [INFO] [stdout] | [INFO] [stdout] 1425 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1432 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1445 | Ok(Command::SetClockGatingCheck(SetClockGatingCheck { [INFO] [stdout] | ________________^ [INFO] [stdout] 1446 | | setup, [INFO] [stdout] 1447 | | hold, [INFO] [stdout] 1448 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 1452 | | object_list, [INFO] [stdout] 1453 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1547:5 [INFO] [stdout] | [INFO] [stdout] 1524 | let command = attempt(symbol("set_clock_groups")).or(symbol("set_clock_groups")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1525 | let group = symbol("-group") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1528 | let logically_exclusive = [INFO] [stdout] | ------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1529 | symbol("-logically_exclusive").map(|_| CommandArg::LogicallyExclusive); [INFO] [stdout] 1530 | let physically_exclusive = [INFO] [stdout] | -------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1531 | symbol("-physically_exclusive").map(|_| CommandArg::PhysicallyExclusive); [INFO] [stdout] 1532 | let asynchronous = symbol("-asynchronous").map(|_| CommandArg::Asynchronous); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1533 | let allow_paths = symbol("-allow_paths").map(|_| CommandArg::AllowPaths); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1534 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1535 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1538 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1547 | / command [INFO] [stdout] 1548 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1572:16 [INFO] [stdout] | [INFO] [stdout] 1549 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1550 | let mut group = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1569 | let group = group.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1572 | Ok(Command::SetClockGroups(SetClockGroups { [INFO] [stdout] | ________________^ [INFO] [stdout] 1573 | | group, [INFO] [stdout] 1574 | | logically_exclusive, [INFO] [stdout] 1575 | | physically_exclusive, [INFO] [stdout] ... | [INFO] [stdout] 1579 | | comment, [INFO] [stdout] 1580 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1692:5 [INFO] [stdout] | [INFO] [stdout] 1665 | let command = symbol("set_clock_latency"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1666 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1667 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1668 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1669 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1670 | let source = symbol("-source").map(|_| CommandArg::Source); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1671 | let dynamic = symbol("-dynamic").map(|_| CommandArg::Dynamic); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1672 | let late = symbol("-late").map(|_| CommandArg::Late); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1673 | let early = symbol("-early").map(|_| CommandArg::Early); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1674 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1677 | let delay = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1678 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 1679 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1692 | / command [INFO] [stdout] 1693 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1728:16 [INFO] [stdout] | [INFO] [stdout] 1694 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1704 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1705 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1725 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1728 | Ok(Command::SetClockLatency(SetClockLatency { [INFO] [stdout] | ________________^ [INFO] [stdout] 1729 | | rise, [INFO] [stdout] 1730 | | fall, [INFO] [stdout] 1731 | | min, [INFO] [stdout] ... | [INFO] [stdout] 1739 | | object_list, [INFO] [stdout] 1740 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1831:5 [INFO] [stdout] | [INFO] [stdout] 1814 | let command = symbol("set_clock_sense"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1815 | let positive = symbol("-positive").map(|_| CommandArg::Positive); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1816 | let negative = symbol("-negative").map(|_| CommandArg::Negative); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1817 | let stop_propagation = symbol("-stop_propagation").map(|_| CommandArg::StopPropagation); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1818 | let pulse = symbol("-pulse").with(item()).map(|x| CommandArg::Pulse(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1819 | let clocks = symbol("-clocks") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1823 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1831 | / command [INFO] [stdout] 1832 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1854:16 [INFO] [stdout] | [INFO] [stdout] 1833 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1838 | let mut clocks = None; [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1839 | let mut pin_list = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1851 | let pin_list = pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1854 | Ok(Command::SetClockSense(SetClockSense { [INFO] [stdout] | ________________^ [INFO] [stdout] 1855 | | positive, [INFO] [stdout] 1856 | | negative, [INFO] [stdout] 1857 | | stop_propagation, [INFO] [stdout] ... | [INFO] [stdout] 1860 | | pin_list, [INFO] [stdout] 1861 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1942:5 [INFO] [stdout] | [INFO] [stdout] 1927 | let command = symbol("set_clock_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1928 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1929 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1930 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1931 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1932 | let transition = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1933 | let clock_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 1934 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1942 | / command [INFO] [stdout] 1943 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1968:16 [INFO] [stdout] | [INFO] [stdout] 1944 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1950 | let mut clock_list = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1965 | let clock_list = clock_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1968 | Ok(Command::SetClockTransition(SetClockTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 1969 | | rise, [INFO] [stdout] 1970 | | fall, [INFO] [stdout] 1971 | | min, [INFO] [stdout] ... | [INFO] [stdout] 1974 | | clock_list, [INFO] [stdout] 1975 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2104:5 [INFO] [stdout] | [INFO] [stdout] 2065 | let command = symbol("set_clock_uncertainty"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2066 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2069 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2072 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2075 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2078 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2081 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2084 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2085 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2086 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2087 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2088 | let uncertainty = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2089 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 2090 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2104 | / command [INFO] [stdout] 2105 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2139:16 [INFO] [stdout] | [INFO] [stdout] 2106 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2107 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2108 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2109 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2110 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2111 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2112 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2118 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2139 | Ok(Command::SetClockUncertainty(SetClockUncertainty { [INFO] [stdout] | ________________^ [INFO] [stdout] 2140 | | from, [INFO] [stdout] 2141 | | rise_from, [INFO] [stdout] 2142 | | fall_from, [INFO] [stdout] ... | [INFO] [stdout] 2151 | | object_list, [INFO] [stdout] 2152 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2289:5 [INFO] [stdout] | [INFO] [stdout] 2252 | let command = symbol("set_data_check"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2253 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2256 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2259 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2262 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2265 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2268 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2271 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2272 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2273 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2276 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2277 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2289 | / command [INFO] [stdout] 2290 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2320:16 [INFO] [stdout] | [INFO] [stdout] 2291 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2292 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2293 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2294 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2295 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2296 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2297 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2300 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2320 | Ok(Command::SetDataCheck(SetDataCheck { [INFO] [stdout] | ________________^ [INFO] [stdout] 2321 | | from, [INFO] [stdout] 2322 | | to, [INFO] [stdout] 2323 | | rise_from, [INFO] [stdout] ... | [INFO] [stdout] 2330 | | value, [INFO] [stdout] 2331 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2410:5 [INFO] [stdout] | [INFO] [stdout] 2401 | let command = symbol("set_disable_timing"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2402 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2405 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2409 | let args = (attempt(from), attempt(to), attempt(cell_pin_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2410 | / command [INFO] [stdout] 2411 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2427:16 [INFO] [stdout] | [INFO] [stdout] 2412 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2413 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2414 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2415 | let mut cell_pin_list = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2424 | let cell_pin_list = cell_pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2427 | Ok(Command::SetDisableTiming(SetDisableTiming { [INFO] [stdout] | ________________^ [INFO] [stdout] 2428 | | from, [INFO] [stdout] 2429 | | to, [INFO] [stdout] 2430 | | cell_pin_list, [INFO] [stdout] 2431 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2511:5 [INFO] [stdout] | [INFO] [stdout] 2496 | let command = symbol("set_drive"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2497 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2498 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2499 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2500 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2501 | let resistance = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2502 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 2503 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2511 | / command [INFO] [stdout] 2512 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2537:16 [INFO] [stdout] | [INFO] [stdout] 2513 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2519 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2534 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2537 | Ok(Command::SetDrive(SetDrive { [INFO] [stdout] | ________________^ [INFO] [stdout] 2538 | | rise, [INFO] [stdout] 2539 | | fall, [INFO] [stdout] 2540 | | min, [INFO] [stdout] ... | [INFO] [stdout] 2543 | | port_list, [INFO] [stdout] 2544 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2707:5 [INFO] [stdout] | [INFO] [stdout] 2656 | let command = symbol("set_driving_cell"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2657 | let lib_cell = symbol("-lib_cell") [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2660 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2661 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2662 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2663 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2664 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2667 | let pin = symbol("-pin") [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2670 | let from_pin = symbol("-from_pin") [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2673 | let dont_scale = symbol("-dont_scale").map(|_| CommandArg::DontScale); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2674 | let no_design_rule = symbol("-no_design_rule").map(|_| CommandArg::NoDesignRule); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2675 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2678 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2679 | let input_transition_rise = symbol("-input_transition_rise") [INFO] [stdout] | --------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2682 | let input_transition_fall = symbol("-input_transition_fall") [INFO] [stdout] | --------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2685 | let multiply_by = symbol("-multiply_by") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2689 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2707 | / command [INFO] [stdout] 2708 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2750:16 [INFO] [stdout] | [INFO] [stdout] 2709 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2710 | let mut lib_cell = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2715 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2716 | let mut pin = None; [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2717 | let mut from_pin = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2720 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2725 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2747 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2750 | Ok(Command::SetDrivingCell(SetDrivingCell { [INFO] [stdout] | ________________^ [INFO] [stdout] 2751 | | lib_cell, [INFO] [stdout] 2752 | | rise, [INFO] [stdout] 2753 | | fall, [INFO] [stdout] ... | [INFO] [stdout] 2766 | | port_list, [INFO] [stdout] 2767 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2938:5 [INFO] [stdout] | [INFO] [stdout] 2887 | let command = symbol("set_false_path"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2888 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2889 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2890 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2891 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2892 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2895 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2898 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2901 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2904 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2907 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2910 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2913 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2916 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2919 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2922 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2938 | / command [INFO] [stdout] 2939 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2974:16 [INFO] [stdout] | [INFO] [stdout] 2940 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2945 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2946 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2947 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2948 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2949 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2950 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2951 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2952 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2953 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2974 | Ok(Command::SetFalsePath(SetFalsePath { [INFO] [stdout] | ________________^ [INFO] [stdout] 2975 | | setup, [INFO] [stdout] 2976 | | hold, [INFO] [stdout] 2977 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 2988 | | comment, [INFO] [stdout] 2989 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3065:5 [INFO] [stdout] | [INFO] [stdout] 3061 | let command = symbol("set_fanout_load"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3062 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3063 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3064 | let args = (attempt(value), attempt(port_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3065 | / command [INFO] [stdout] 3066 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3083:16 [INFO] [stdout] | [INFO] [stdout] 3067 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3068 | let mut value = None; [INFO] [stdout] 3069 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3080 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3083 | Ok(Command::SetFanoutLoad(SetFanoutLoad { value, port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3158:5 [INFO] [stdout] | [INFO] [stdout] 3143 | let command = symbol("set_ideal_latency"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3144 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3145 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3146 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3147 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3148 | let delay = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3149 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3150 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3158 | / command [INFO] [stdout] 3159 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3184:16 [INFO] [stdout] | [INFO] [stdout] 3160 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3166 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3181 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3184 | Ok(Command::SetIdealLatency(SetIdealLatency { [INFO] [stdout] | ________________^ [INFO] [stdout] 3185 | | rise, [INFO] [stdout] 3186 | | fall, [INFO] [stdout] 3187 | | min, [INFO] [stdout] ... | [INFO] [stdout] 3190 | | object_list, [INFO] [stdout] 3191 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3245:5 [INFO] [stdout] | [INFO] [stdout] 3241 | let command = symbol("set_ideal_network"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3242 | let no_propagate = symbol("-no_propagate").map(|_| CommandArg::NoPropagate); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3243 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3244 | let args = (attempt(no_propagate), attempt(object_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3245 | / command [INFO] [stdout] 3246 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3260:16 [INFO] [stdout] | [INFO] [stdout] 3247 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3248 | let mut no_propagate = false; [INFO] [stdout] 3249 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3257 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3260 | Ok(Command::SetIdealNetwork(SetIdealNetwork { [INFO] [stdout] | ________________^ [INFO] [stdout] 3261 | | no_propagate, [INFO] [stdout] 3262 | | object_list, [INFO] [stdout] 3263 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3338:5 [INFO] [stdout] | [INFO] [stdout] 3323 | let command = symbol("set_ideal_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3324 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3325 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3326 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3327 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3328 | let transition_time = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3329 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3330 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3338 | / command [INFO] [stdout] 3339 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3364:16 [INFO] [stdout] | [INFO] [stdout] 3340 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3346 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3361 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3364 | Ok(Command::SetIdealTransition(SetIdealTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 3365 | | rise, [INFO] [stdout] 3366 | | fall, [INFO] [stdout] 3367 | | min, [INFO] [stdout] ... | [INFO] [stdout] 3370 | | object_list, [INFO] [stdout] 3371 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3498:5 [INFO] [stdout] | [INFO] [stdout] 3463 | let command = symbol("set_input_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3464 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3467 | let reference_pin = symbol("-reference_pin") [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3470 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3471 | let level_sensitive = symbol("-level_sensitive").map(|_| CommandArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3472 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3473 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3474 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3475 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3476 | let add_delay = symbol("-add_delay").map(|_| CommandArg::AddDelay); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3477 | let network_latency_included = [INFO] [stdout] | ------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3478 | symbol("-network_latency_included").map(|_| CommandArg::NetworkLatencyIncluded); [INFO] [stdout] 3479 | let source_latency_included = [INFO] [stdout] | ----------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3480 | symbol("-source_latency_included").map(|_| CommandArg::SourceLatencyIncluded); [INFO] [stdout] 3481 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3482 | let port_pin_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3483 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3498 | / command [INFO] [stdout] 3499 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3538:16 [INFO] [stdout] | [INFO] [stdout] 3500 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3501 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3502 | let mut reference_pin = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3513 | let mut port_pin_list = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3535 | let port_pin_list = port_pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3538 | Ok(Command::SetInputDelay(SetInputDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 3539 | | clock, [INFO] [stdout] 3540 | | reference_pin, [INFO] [stdout] 3541 | | clock_fall, [INFO] [stdout] ... | [INFO] [stdout] 3551 | | port_pin_list, [INFO] [stdout] 3552 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3656:5 [INFO] [stdout] | [INFO] [stdout] 3635 | let command = symbol("set_input_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3636 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3637 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3638 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3639 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3640 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3643 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3644 | let transition = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3645 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3646 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3656 | / command [INFO] [stdout] 3657 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3686:16 [INFO] [stdout] | [INFO] [stdout] 3658 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3663 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3666 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3683 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3686 | Ok(Command::SetInputTransition(SetInputTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 3687 | | rise, [INFO] [stdout] 3688 | | fall, [INFO] [stdout] 3689 | | min, [INFO] [stdout] ... | [INFO] [stdout] 3694 | | port_list, [INFO] [stdout] 3695 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3750:5 [INFO] [stdout] | [INFO] [stdout] 3747 | let command = symbol("set_level_shifter_strategy"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3748 | let rule = symbol("-rule").with(item()).map(|x| CommandArg::Rule(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3749 | let args = (attempt(rule),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3750 | / command [INFO] [stdout] 3751 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3760:16 [INFO] [stdout] | [INFO] [stdout] 3752 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3760 | Ok(Command::SetLevelShifterStrategy(SetLevelShifterStrategy { [INFO] [stdout] | ________________^ [INFO] [stdout] 3761 | | rule, [INFO] [stdout] 3762 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3815:5 [INFO] [stdout] | [INFO] [stdout] 3807 | let command = symbol("set_level_shifter_threshold"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3808 | let voltage = symbol("-voltage") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3811 | let percent = symbol("-percent") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3814 | let args = (attempt(voltage), attempt(percent)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3815 | / command [INFO] [stdout] 3816 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3827:16 [INFO] [stdout] | [INFO] [stdout] 3817 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3827 | Ok(Command::SetLevelShifterThreshold( [INFO] [stdout] | ________________^ [INFO] [stdout] 3828 | | SetLevelShifterThreshold { voltage, percent }, [INFO] [stdout] 3829 | | )) [INFO] [stdout] | |_____________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3908:5 [INFO] [stdout] | [INFO] [stdout] 3891 | let command = symbol("set_load"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3892 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3893 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3894 | let subtract_pin_load = symbol("-subtract_pin_load").map(|_| CommandArg::SubtractPinLoad); [INFO] [stdout] | ----------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3895 | let pin_load = symbol("-pin_load").map(|_| CommandArg::PinLoad); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3896 | let wire_load = symbol("-wire_load").map(|_| CommandArg::WireLoad); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3897 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3898 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3899 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3908 | / command [INFO] [stdout] 3909 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3934:16 [INFO] [stdout] | [INFO] [stdout] 3910 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3917 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3931 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3934 | Ok(Command::SetLoad(SetLoad { [INFO] [stdout] | ________________^ [INFO] [stdout] 3935 | | min, [INFO] [stdout] 3936 | | max, [INFO] [stdout] 3937 | | subtract_pin_load, [INFO] [stdout] ... | [INFO] [stdout] 3941 | | objects, [INFO] [stdout] 3942 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3992:5 [INFO] [stdout] | [INFO] [stdout] 3989 | let command = symbol("set_logic_dc"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3992 | / command [INFO] [stdout] 3993 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4005:16 [INFO] [stdout] | [INFO] [stdout] 3994 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3995 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4002 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4005 | Ok(Command::SetLogicDc(SetLogicDc { port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4049:5 [INFO] [stdout] | [INFO] [stdout] 4046 | let command = symbol("set_logic_one"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4049 | / command [INFO] [stdout] 4050 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4062:16 [INFO] [stdout] | [INFO] [stdout] 4051 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4052 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4059 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4062 | Ok(Command::SetLogicOne(SetLogicOne { port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4106:5 [INFO] [stdout] | [INFO] [stdout] 4103 | let command = symbol("set_logic_zero"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4106 | / command [INFO] [stdout] 4107 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4119:16 [INFO] [stdout] | [INFO] [stdout] 4108 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4109 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4116 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4119 | Ok(Command::SetLogicZero(SetLogicZero { port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4163:5 [INFO] [stdout] | [INFO] [stdout] 4160 | let command = symbol("set_max_area"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4161 | let area_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4162 | let args = (attempt(area_value),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4163 | / command [INFO] [stdout] 4164 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4176:16 [INFO] [stdout] | [INFO] [stdout] 4165 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4176 | Ok(Command::SetMaxArea(SetMaxArea { area_value })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4216:5 [INFO] [stdout] | [INFO] [stdout] 4212 | let command = symbol("set_max_capacitance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4213 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4214 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4215 | let args = (attempt(value), attempt(objects)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4216 | / command [INFO] [stdout] 4217 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4234:16 [INFO] [stdout] | [INFO] [stdout] 4218 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4219 | let mut value = None; [INFO] [stdout] 4220 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4231 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4234 | Ok(Command::SetMaxCapacitance(SetMaxCapacitance { [INFO] [stdout] | ________________^ [INFO] [stdout] 4235 | | value, [INFO] [stdout] 4236 | | objects, [INFO] [stdout] 4237 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4383:5 [INFO] [stdout] | [INFO] [stdout] 4331 | let command = symbol("set_max_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4332 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4333 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4334 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4337 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4340 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4343 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4346 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4349 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4352 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4355 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4358 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4361 | let ignore_clock_latency = [INFO] [stdout] | -------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4362 | symbol("-ignore_clock_latency").map(|_| CommandArg::IgnoreClockLatency); [INFO] [stdout] 4363 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4366 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4367 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4383 | / command [INFO] [stdout] 4384 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4422:16 [INFO] [stdout] | [INFO] [stdout] 4385 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4388 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4389 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4390 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4391 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4392 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4393 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4394 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4395 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4396 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4422 | Ok(Command::SetMaxDelay(SetMaxDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 4423 | | rise, [INFO] [stdout] 4424 | | fall, [INFO] [stdout] 4425 | | from, [INFO] [stdout] ... | [INFO] [stdout] 4436 | | delay_value, [INFO] [stdout] 4437 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4516:5 [INFO] [stdout] | [INFO] [stdout] 4512 | let command = symbol("set_max_dynamic_power"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4513 | let power = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4514 | let unit = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4515 | let args = (attempt(power), attempt(unit)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4516 | / command [INFO] [stdout] 4517 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4531:16 [INFO] [stdout] | [INFO] [stdout] 4518 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4531 | Ok(Command::SetMaxDynamicPower(SetMaxDynamicPower { [INFO] [stdout] | ________________^ [INFO] [stdout] 4532 | | power, [INFO] [stdout] 4533 | | unit, [INFO] [stdout] 4534 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4580:5 [INFO] [stdout] | [INFO] [stdout] 4576 | let command = symbol("set_max_fanout"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4577 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4578 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4579 | let args = (attempt(value), attempt(objects)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4580 | / command [INFO] [stdout] 4581 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4598:16 [INFO] [stdout] | [INFO] [stdout] 4582 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4583 | let mut value = None; [INFO] [stdout] 4584 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4595 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4598 | Ok(Command::SetMaxFanout(SetMaxFanout { value, objects })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4649:5 [INFO] [stdout] | [INFO] [stdout] 4645 | let command = symbol("set_max_leakage_power"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4646 | let power = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4647 | let unit = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4648 | let args = (attempt(power), attempt(unit)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4649 | / command [INFO] [stdout] 4650 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4664:16 [INFO] [stdout] | [INFO] [stdout] 4651 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4664 | Ok(Command::SetMaxLeakagePower(SetMaxLeakagePower { [INFO] [stdout] | ________________^ [INFO] [stdout] 4665 | | power, [INFO] [stdout] 4666 | | unit, [INFO] [stdout] 4667 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4713:5 [INFO] [stdout] | [INFO] [stdout] 4709 | let command = symbol("set_max_time_borrow"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4710 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4711 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4712 | let args = (attempt(delay_value), attempt(object_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4713 | / command [INFO] [stdout] 4714 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4731:16 [INFO] [stdout] | [INFO] [stdout] 4715 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4716 | let mut delay_value = None; [INFO] [stdout] 4717 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4728 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4731 | Ok(Command::SetMaxTimeBorrow(SetMaxTimeBorrow { [INFO] [stdout] | ________________^ [INFO] [stdout] 4732 | | delay_value, [INFO] [stdout] 4733 | | object_list, [INFO] [stdout] 4734 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4809:5 [INFO] [stdout] | [INFO] [stdout] 4794 | let command = symbol("set_max_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4795 | let clock_path = symbol("-clock_path").map(|_| CommandArg::ClockPath); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4796 | let data_path = symbol("-data_path").map(|_| CommandArg::DataPath); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4797 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4798 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4799 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4800 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4801 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4809 | / command [INFO] [stdout] 4810 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4835:16 [INFO] [stdout] | [INFO] [stdout] 4811 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4817 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4832 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4835 | Ok(Command::SetMaxTransition(SetMaxTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 4836 | | clock_path, [INFO] [stdout] 4837 | | data_path, [INFO] [stdout] 4838 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 4841 | | object_list, [INFO] [stdout] 4842 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4894:5 [INFO] [stdout] | [INFO] [stdout] 4890 | let command = symbol("set_min_capacitance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4891 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4892 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4893 | let args = (attempt(value), attempt(objects)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4894 | / command [INFO] [stdout] 4895 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4912:16 [INFO] [stdout] | [INFO] [stdout] 4896 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4897 | let mut value = None; [INFO] [stdout] 4898 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4909 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4912 | Ok(Command::SetMinCapacitance(SetMinCapacitance { [INFO] [stdout] | ________________^ [INFO] [stdout] 4913 | | value, [INFO] [stdout] 4914 | | objects, [INFO] [stdout] 4915 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5061:5 [INFO] [stdout] | [INFO] [stdout] 5009 | let command = symbol("set_min_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5010 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5011 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5012 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5015 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5018 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5021 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5024 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5027 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5030 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5033 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5036 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5039 | let ignore_clock_latency = [INFO] [stdout] | -------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5040 | symbol("-ignore_clock_latency").map(|_| CommandArg::IgnoreClockLatency); [INFO] [stdout] 5041 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5044 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5045 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5061 | / command [INFO] [stdout] 5062 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5100:16 [INFO] [stdout] | [INFO] [stdout] 5063 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5066 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5067 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5068 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5069 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5070 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5071 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5072 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5073 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5074 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5100 | Ok(Command::SetMinDelay(SetMinDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 5101 | | rise, [INFO] [stdout] 5102 | | fall, [INFO] [stdout] 5103 | | from, [INFO] [stdout] ... | [INFO] [stdout] 5114 | | delay_value, [INFO] [stdout] 5115 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5191:5 [INFO] [stdout] | [INFO] [stdout] 5187 | let command = symbol("set_min_porosity"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5188 | let porosity_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5189 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5190 | let args = (attempt(porosity_value), attempt(object_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5191 | / command [INFO] [stdout] 5192 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5209:16 [INFO] [stdout] | [INFO] [stdout] 5193 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5194 | let mut value = None; [INFO] [stdout] 5195 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5206 | let object_list = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5209 | Ok(Command::SetMinPorosity(SetMinPorosity { [INFO] [stdout] | ________________^ [INFO] [stdout] 5210 | | porosity_value, [INFO] [stdout] 5211 | | object_list, [INFO] [stdout] 5212 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5277:5 [INFO] [stdout] | [INFO] [stdout] 5266 | let command = symbol("set_min_pulse_width"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5267 | let low = symbol("-low").map(|_| CommandArg::Low); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5268 | let high = symbol("-high").map(|_| CommandArg::High); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5269 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5270 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5271 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5277 | / command [INFO] [stdout] 5278 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5296:16 [INFO] [stdout] | [INFO] [stdout] 5279 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5283 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5296 | Ok(Command::SetMinPulseWidth(SetMinPulseWidth { [INFO] [stdout] | ________________^ [INFO] [stdout] 5297 | | low, [INFO] [stdout] 5298 | | high, [INFO] [stdout] 5299 | | value, [INFO] [stdout] 5300 | | object_list, [INFO] [stdout] 5301 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5466:5 [INFO] [stdout] | [INFO] [stdout] 5409 | let command = symbol("set_multicycle_path"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5410 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5411 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5412 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5413 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5414 | let start = symbol("-start").map(|_| CommandArg::Start); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5415 | let end = symbol("-end").map(|_| CommandArg::End); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5416 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5419 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5422 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5425 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5428 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5431 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5434 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5437 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5440 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5443 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5446 | let path_multiplier = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5447 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5466 | / command [INFO] [stdout] 5467 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5511:16 [INFO] [stdout] | [INFO] [stdout] 5468 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5475 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5476 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5477 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5478 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5479 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5480 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5481 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5482 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5483 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5511 | Ok(Command::SetMulticyclePath(SetMulticyclePath { [INFO] [stdout] | ________________^ [INFO] [stdout] 5512 | | setup, [INFO] [stdout] 5513 | | hold, [INFO] [stdout] 5514 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 5528 | | path_multiplier, [INFO] [stdout] 5529 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5661:5 [INFO] [stdout] | [INFO] [stdout] 5632 | let command = symbol("set_operating_conditions"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5633 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5636 | let analysis_type = symbol("-analysis_type") [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5639 | let max = symbol("-max").with(item()).map(|x| CommandArg::MaxStr(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5640 | let min = symbol("-min").with(item()).map(|x| CommandArg::MinStr(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5641 | let max_library = symbol("-max_library") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5644 | let min_library = symbol("-min_library") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5647 | let object_list = symbol("-object_list") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5650 | let condition = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5651 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5661 | / command [INFO] [stdout] 5662 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5685:16 [INFO] [stdout] | [INFO] [stdout] 5663 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5664 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5668 | let mut max_library = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5669 | let mut min_library = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5670 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5685 | Ok(Command::SetOperatingConditions(SetOperatingConditions { [INFO] [stdout] | ________________^ [INFO] [stdout] 5686 | | library, [INFO] [stdout] 5687 | | analysis_type, [INFO] [stdout] 5688 | | max, [INFO] [stdout] ... | [INFO] [stdout] 5693 | | condition, [INFO] [stdout] 5694 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5830:5 [INFO] [stdout] | [INFO] [stdout] 5795 | let command = symbol("set_output_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5796 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5799 | let reference_pin = symbol("-reference_pin") [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5802 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5803 | let level_sensitive = symbol("-level_sensitive").map(|_| CommandArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5804 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5805 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5806 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5807 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5808 | let add_delay = symbol("-add_delay").map(|_| CommandArg::AddDelay); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5809 | let network_latency_included = [INFO] [stdout] | ------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5810 | symbol("-network_latency_included").map(|_| CommandArg::NetworkLatencyIncluded); [INFO] [stdout] 5811 | let source_latency_included = [INFO] [stdout] | ----------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5812 | symbol("-source_latency_included").map(|_| CommandArg::SourceLatencyIncluded); [INFO] [stdout] 5813 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5814 | let port_pin_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5815 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5830 | / command [INFO] [stdout] 5831 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5870:16 [INFO] [stdout] | [INFO] [stdout] 5832 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5833 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5834 | let mut reference_pin = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5845 | let mut port_pin_list = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5867 | let port_pin_list = port_pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5870 | Ok(Command::SetOutputDelay(SetOutputDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 5871 | | clock, [INFO] [stdout] 5872 | | reference_pin, [INFO] [stdout] 5873 | | clock_fall, [INFO] [stdout] ... | [INFO] [stdout] 5883 | | port_pin_list, [INFO] [stdout] 5884 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5947:5 [INFO] [stdout] | [INFO] [stdout] 5943 | let command = symbol("set_port_fanout_number"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5944 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5945 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5946 | let args = (attempt(value), attempt(port_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5947 | / command [INFO] [stdout] 5948 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5965:16 [INFO] [stdout] | [INFO] [stdout] 5949 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5950 | let mut value = None; [INFO] [stdout] 5951 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5962 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5965 | Ok(Command::SetPortFanoutNumber(SetPortFanoutNumber { [INFO] [stdout] | ________________^ [INFO] [stdout] 5966 | | value, [INFO] [stdout] 5967 | | port_list, [INFO] [stdout] 5968 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6013:5 [INFO] [stdout] | [INFO] [stdout] 6010 | let command = symbol("set_propagated_clock"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6013 | / command [INFO] [stdout] 6014 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6026:16 [INFO] [stdout] | [INFO] [stdout] 6015 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6016 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6023 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6026 | Ok(Command::SetPropagatedClock(SetPropagatedClock { [INFO] [stdout] | ________________^ [INFO] [stdout] 6027 | | object_list, [INFO] [stdout] 6028 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6090:5 [INFO] [stdout] | [INFO] [stdout] 6079 | let command = symbol("set_resistance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6080 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6081 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6082 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6083 | let net_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 6084 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6090 | / command [INFO] [stdout] 6091 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6112:16 [INFO] [stdout] | [INFO] [stdout] 6092 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6096 | let mut net_list = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6109 | let net_list = net_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6112 | Ok(Command::SetResistance(SetResistance { [INFO] [stdout] | ________________^ [INFO] [stdout] 6113 | | min, [INFO] [stdout] 6114 | | max, [INFO] [stdout] 6115 | | value, [INFO] [stdout] 6116 | | net_list, [INFO] [stdout] 6117 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6216:5 [INFO] [stdout] | [INFO] [stdout] 6193 | let command = symbol("set_sense"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6194 | let r#type = symbol("-type").with(item()).map(|x| CommandArg::Type(x)); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6195 | let non_unate = symbol("-non_unate").map(|_| CommandArg::NonUnate); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6196 | let positive = symbol("-positive").map(|_| CommandArg::Positive); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6197 | let negative = symbol("-negative").map(|_| CommandArg::Negative); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6198 | let clock_leaf = symbol("-clock_leaf").map(|_| CommandArg::ClockLeaf); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6199 | let stop_propagation = symbol("-stop_propagation").map(|_| CommandArg::StopPropagation); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6200 | let pulse = symbol("-pulse").with(item()).map(|x| CommandArg::Pulse(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6201 | let clocks = symbol("-clocks") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6205 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6216 | / command [INFO] [stdout] 6217 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6245:16 [INFO] [stdout] | [INFO] [stdout] 6218 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6226 | let mut clocks = None; [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6227 | let mut pin_list = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6242 | let pin_list = pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6245 | Ok(Command::SetSense(SetSense { [INFO] [stdout] | ________________^ [INFO] [stdout] 6246 | | r#type, [INFO] [stdout] 6247 | | non_unate, [INFO] [stdout] 6248 | | positive, [INFO] [stdout] ... | [INFO] [stdout] 6254 | | pin_list, [INFO] [stdout] 6255 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6390:5 [INFO] [stdout] | [INFO] [stdout] 6359 | let command = symbol("set_timing_derate"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6360 | let cell_delay = symbol("-cell_delay").map(|_| CommandArg::CellDelay); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6361 | let cell_check = symbol("-cell_check").map(|_| CommandArg::CellCheck); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6362 | let net_delay = symbol("-net_delay").map(|_| CommandArg::NetDelay); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6363 | let data = symbol("-data").map(|_| CommandArg::Data); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6364 | let clock = symbol("-clock").map(|_| CommandArg::Clock); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6365 | let early = symbol("-early").map(|_| CommandArg::Early); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6366 | let late = symbol("-late").map(|_| CommandArg::Late); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6367 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6368 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6369 | let r#static = symbol("-static").map(|_| CommandArg::Static); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6370 | let dynamic = symbol("-dynamic").map(|_| CommandArg::Dynamic); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6371 | let increment = symbol("-increment").map(|_| CommandArg::Increment); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6372 | let derate_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6373 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 6374 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6390 | / command [INFO] [stdout] 6391 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6429:16 [INFO] [stdout] | [INFO] [stdout] 6392 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6406 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6429 | Ok(Command::SetTimingDerate(SetTimingDerate { [INFO] [stdout] | ________________^ [INFO] [stdout] 6430 | | cell_delay, [INFO] [stdout] 6431 | | cell_check, [INFO] [stdout] 6432 | | net_delay, [INFO] [stdout] ... | [INFO] [stdout] 6443 | | object_list, [INFO] [stdout] 6444 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6579:5 [INFO] [stdout] | [INFO] [stdout] 6552 | let command = attempt(symbol("set_units")).or(symbol("set_unit")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6553 | let capacitance = symbol("-capacitance") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6556 | let resistance = symbol("-resistance") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6559 | let time = symbol("-time") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6562 | let voltage = symbol("-voltage") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6565 | let current = symbol("-current") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6568 | let power = symbol("-power") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6571 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6579 | / command [INFO] [stdout] 6580 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6599:16 [INFO] [stdout] | [INFO] [stdout] 6581 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6599 | Ok(Command::SetUnits(SetUnits { [INFO] [stdout] | ________________^ [INFO] [stdout] 6600 | | capacitance, [INFO] [stdout] 6601 | | resistance, [INFO] [stdout] 6602 | | time, [INFO] [stdout] ... | [INFO] [stdout] 6605 | | power, [INFO] [stdout] 6606 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6723:5 [INFO] [stdout] | [INFO] [stdout] 6712 | let command = symbol("set_voltage"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6713 | let min = symbol("-min").with(float()).map(|x| CommandArg::MinVal(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6714 | let object_list = symbol("-object_list") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6717 | let max_case_voltage = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6718 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6723 | / command [INFO] [stdout] 6724 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6740:16 [INFO] [stdout] | [INFO] [stdout] 6725 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6726 | let mut min = None; [INFO] [stdout] 6727 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6740 | Ok(Command::SetVoltage(SetVoltage { [INFO] [stdout] | ________________^ [INFO] [stdout] 6741 | | min, [INFO] [stdout] 6742 | | object_list, [INFO] [stdout] 6743 | | max_case_voltage, [INFO] [stdout] 6744 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6790:5 [INFO] [stdout] | [INFO] [stdout] 6787 | let command = symbol("set_wire_load_min_block_size"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6788 | let size = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6789 | let args = (attempt(size),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6790 | / command [INFO] [stdout] 6791 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6803:16 [INFO] [stdout] | [INFO] [stdout] 6792 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6803 | Ok(Command::SetWireLoadMinBlockSize(SetWireLoadMinBlockSize { [INFO] [stdout] | ________________^ [INFO] [stdout] 6804 | | size, [INFO] [stdout] 6805 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6845:5 [INFO] [stdout] | [INFO] [stdout] 6842 | let command = symbol("set_wire_load_mode"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6843 | let mode_name = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6844 | let args = (attempt(mode_name),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6845 | / command [INFO] [stdout] 6846 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6858:16 [INFO] [stdout] | [INFO] [stdout] 6847 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6858 | Ok(Command::SetWireLoadMode(SetWireLoadMode { mode_name })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6928:5 [INFO] [stdout] | [INFO] [stdout] 6913 | let command = symbol("set_wire_load_model"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6914 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6915 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6918 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6919 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6920 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 6921 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6928 | / command [INFO] [stdout] 6929 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6949:16 [INFO] [stdout] | [INFO] [stdout] 6930 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6931 | let mut name = None; [INFO] [stdout] 6932 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6935 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6949 | Ok(Command::SetWireLoadModel(SetWireLoadModel { [INFO] [stdout] | ________________^ [INFO] [stdout] 6950 | | name, [INFO] [stdout] 6951 | | library, [INFO] [stdout] 6952 | | min, [INFO] [stdout] 6953 | | max, [INFO] [stdout] 6954 | | object_list, [INFO] [stdout] 6955 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:7033:5 [INFO] [stdout] | [INFO] [stdout] 7018 | let command = symbol("set_wire_load_selection_group"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7019 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7022 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7023 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7024 | let group_name = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7025 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 7026 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7033 | / command [INFO] [stdout] 7034 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:7054:16 [INFO] [stdout] | [INFO] [stdout] 7035 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7036 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7040 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7054 | Ok(Command::SetWireLoadSelectionGroup( [INFO] [stdout] | ________________^ [INFO] [stdout] 7055 | | SetWireLoadSelectionGroup { [INFO] [stdout] 7056 | | library, [INFO] [stdout] 7057 | | min, [INFO] [stdout] ... | [INFO] [stdout] 7061 | | }, [INFO] [stdout] 7062 | | )) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |_____________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/util.rs:151:22 [INFO] [stdout] | [INFO] [stdout] 145 | parser: impl Parser, [INFO] [stdout] | ------------------------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 151 | combine::between(lex(char(start)), lex(char(end)), parser) [INFO] [stdout] | ^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/util.rs:151:40 [INFO] [stdout] | [INFO] [stdout] 145 | parser: impl Parser, [INFO] [stdout] | ------------------------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 151 | combine::between(lex(char(start)), lex(char(end)), parser) [INFO] [stdout] | ^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/util.rs:161:5 [INFO] [stdout] | [INFO] [stdout] 155 | parser: impl Parser, [INFO] [stdout] | ------------------------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 161 | / parser [INFO] [stdout] 162 | | .and(skip_many(one_of(" \t".chars()).or( [INFO] [stdout] 163 | | char('\\').with(char('\n').or(string("\r\n").map(|_| ' '))), [INFO] [stdout] 164 | | ))) [INFO] [stdout] | |___________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: aborting due to 133 previous errors; 1 warning emitted [INFO] [stdout] [INFO] [stdout] [INFO] [stderr] error: could not compile `sdc-parser` (lib) due to 134 previous errors; 1 warning emitted [INFO] [stderr] warning: build failed, waiting for other jobs to finish... [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/lib.rs:136:20 [INFO] [stdout] | [INFO] [stdout] 134 | fn from(kind: ErrorKind) -> Error { [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 135 | Error { [INFO] [stdout] 136 | inner: Context::new(kind), [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] = note: requested on the command line with `-D tail-expr-drop-order` [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:81:5 [INFO] [stdout] | [INFO] [stdout] 64 | let items = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 81 | choice(items).parse_stream(input) [INFO] [stdout] | ^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:178:5 [INFO] [stdout] | [INFO] [stdout] 169 | let command = symbol("all_inputs"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 170 | let level_sensitive = symbol("-level_sensitive").map(|_| ObjectArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 171 | let edge_triggered = symbol("-edge_triggered").map(|_| ObjectArg::EdgeTriggered); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 172 | let clock = symbol("-clock").with(item()).map(|x| ObjectArg::Clock(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 173 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 178 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:254:5 [INFO] [stdout] | [INFO] [stdout] 245 | let command = symbol("all_outputs"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 246 | let level_sensitive = symbol("-level_sensitive").map(|_| ObjectArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 247 | let edge_triggered = symbol("-edge_triggered").map(|_| ObjectArg::EdgeTriggered); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 248 | let clock = symbol("-clock").with(item()).map(|x| ObjectArg::Clock(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 249 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 254 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:400:5 [INFO] [stdout] | [INFO] [stdout] 365 | let command = symbol("all_registers"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 366 | let no_hierarchy = symbol("-no_hierarchy").map(|_| ObjectArg::NoHierarchy); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 367 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 368 | let clock = symbol("-clock").with(item()).map(|x| ObjectArg::Clock(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 369 | let rise_clock = symbol("-rise_clock") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 372 | let fall_clock = symbol("-fall_clock") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 375 | let cells = symbol("-cells").map(|_| ObjectArg::Cells); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 376 | let data_pins = symbol("-data_pins").map(|_| ObjectArg::DataPins); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 377 | let clock_pins = symbol("-clock_pins").map(|_| ObjectArg::ClockPins); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 378 | let slave_clock_pins = symbol("-slave_clock_pins").map(|_| ObjectArg::SlaveClockPins); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 379 | let async_pins = symbol("-async_pins").map(|_| ObjectArg::AsyncPins); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 380 | let output_pins = symbol("-output_pins").map(|_| ObjectArg::OutputPins); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 381 | let level_sensitive = symbol("-level_sensitive").map(|_| ObjectArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 382 | let edge_triggered = symbol("-edge_triggered").map(|_| ObjectArg::EdgeTriggered); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 383 | let master_slave = symbol("-master_slave").map(|_| ObjectArg::MasterSlave); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 384 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 400 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:582:5 [INFO] [stdout] | [INFO] [stdout] 563 | let command = attempt(symbol("get_cells")).or(symbol("get_cell")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 564 | let hierarchical = symbol("-hierarchical").map(|_| ObjectArg::Hierarchical); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 565 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 566 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 567 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 568 | let of_objects = attempt(symbol("-of_objects")) [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 572 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 573 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 574 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 582 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:600:26 [INFO] [stdout] | [INFO] [stdout] 582 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 587 | let mut of_objects = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 600 | Object::GetCells(GetCells { [INFO] [stdout] | __________________________^ [INFO] [stdout] 601 | | hierarchical, [INFO] [stdout] 602 | | hsc, [INFO] [stdout] 603 | | regexp, [INFO] [stdout] ... | [INFO] [stdout] 606 | | patterns, [INFO] [stdout] 607 | | }) [INFO] [stdout] | |_________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:696:5 [INFO] [stdout] | [INFO] [stdout] 690 | let command = attempt(symbol("get_clocks")).or(symbol("get_clock")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 691 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 692 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 693 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 694 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 695 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 696 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:796:5 [INFO] [stdout] | [INFO] [stdout] 789 | let command = attempt(symbol("get_lib_cells")).or(symbol("get_lib_cell")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 790 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 791 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 792 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 793 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 794 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 795 | let args = (attempt(hsc), attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 796 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:896:5 [INFO] [stdout] | [INFO] [stdout] 890 | let command = attempt(symbol("get_lib_pins")).or(symbol("get_lib_pin")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 891 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 892 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 893 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 894 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 895 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 896 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:991:5 [INFO] [stdout] | [INFO] [stdout] 985 | let command = symbol("get_libs"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 986 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 987 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 988 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 989 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 990 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 991 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1111:5 [INFO] [stdout] | [INFO] [stdout] 1092 | let command = attempt(symbol("get_nets")).or(symbol("get_net")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1093 | let hierarchical = symbol("-hierarchical").map(|_| ObjectArg::Hierarchical); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1094 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1095 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1096 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1097 | let of_objects = attempt(symbol("-of_objects")) [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1101 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1102 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 1103 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1111 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1129:25 [INFO] [stdout] | [INFO] [stdout] 1111 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1116 | let mut of_objects = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1129 | Object::GetNets(GetNets { [INFO] [stdout] | _________________________^ [INFO] [stdout] 1130 | | hierarchical, [INFO] [stdout] 1131 | | hsc, [INFO] [stdout] 1132 | | regexp, [INFO] [stdout] ... | [INFO] [stdout] 1135 | | patterns, [INFO] [stdout] 1136 | | }) [INFO] [stdout] | |_________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1250:5 [INFO] [stdout] | [INFO] [stdout] 1231 | let command = attempt(symbol("get_pins")).or(symbol("get_pin")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1232 | let hierarchical = symbol("-hierarchical").map(|_| ObjectArg::Hierarchical); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1233 | let hsc = symbol("-hsc").with(item()).map(|x| ObjectArg::Hsc(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1234 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1235 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1236 | let of_objects = attempt(symbol("-of_objects")) [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1240 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1241 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 1242 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1250 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1268:25 [INFO] [stdout] | [INFO] [stdout] 1250 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1255 | let mut of_objects = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1268 | Object::GetPins(GetPins { [INFO] [stdout] | _________________________^ [INFO] [stdout] 1269 | | hierarchical, [INFO] [stdout] 1270 | | hsc, [INFO] [stdout] 1271 | | regexp, [INFO] [stdout] ... | [INFO] [stdout] 1274 | | patterns, [INFO] [stdout] 1275 | | }) [INFO] [stdout] | |_________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1364:5 [INFO] [stdout] | [INFO] [stdout] 1358 | let command = attempt(symbol("get_ports")).or(symbol("get_port")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1359 | let regexp = symbol("-regexp").map(|_| ObjectArg::Regexp); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1360 | let nocase = symbol("-nocase").map(|_| ObjectArg::Nocase); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1361 | let patterns = choice((braces(parser(braces_strings)), item().map(|x| vec![x]))) [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1362 | .map(|x| ObjectArg::Patterns(x)); [INFO] [stdout] 1363 | let args = (attempt(regexp), attempt(nocase), patterns); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1364 | brackets(command.with(many(choice(args)))).map(|xs: Vec<_>| { [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1436:5 [INFO] [stdout] | [INFO] [stdout] 1435 | let command = symbol("list"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1436 | brackets(command.with(many1(parser(object)))).map(|x| Object::List(List { objects: x })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/object.rs:1436:72 [INFO] [stdout] | [INFO] [stdout] 1436 | brackets(command.with(many1(parser(object)))).map(|x| Object::List(List { objects: x })) [INFO] [stdout] | - ^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:383:12 [INFO] [stdout] | [INFO] [stdout] 304 | let c = ( [INFO] [stdout] | - these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 310 | let g = (group_path(),); [INFO] [stdout] | - these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 311 | let set = (attempt(set_sdc_version()), attempt(set())); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 312 | let set_ca = (set_case_analysis(),); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 313 | let set_cl = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 321 | let set_d = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 327 | let set_f = (attempt(set_false_path()), attempt(set_fanout_load())); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 328 | let set_id = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 333 | let set_in = (attempt(set_input_delay()), attempt(set_input_transition())); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 334 | let set_le = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 338 | let set_lo = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 344 | let set_ma = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 354 | let set_mi = ( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 360 | let set_mu = (set_multicycle_path(),); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 361 | let set_o = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 365 | let set_p = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 369 | let set_w = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 375 | let set__ = ( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 383 | choice(( [INFO] [stdout] | ____________^ [INFO] [stdout] 384 | | look_ahead(space()).with(whitespace()), [INFO] [stdout] 385 | | look_ahead(char('\n')).with(linebreak()), [INFO] [stdout] 386 | | look_ahead(string("\r\n")).with(linebreak()), [INFO] [stdout] ... | [INFO] [stdout] 405 | | attempt(choice(set__)), [INFO] [stdout] 406 | | )) [INFO] [stdout] | |_____^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:503:5 [INFO] [stdout] | [INFO] [stdout] 482 | let command = symbol("create_clock"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 483 | let period = symbol("-period") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 486 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 487 | let waveform = symbol("-waveform") [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 490 | let add = symbol("-add").map(|_| CommandArg::Add); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 491 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 495 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 503 | / command [INFO] [stdout] 504 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:526:16 [INFO] [stdout] | [INFO] [stdout] 505 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 511 | let mut source_objects = None; [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 526 | Ok(Command::CreateClock(CreateClock { [INFO] [stdout] | ________________^ [INFO] [stdout] 527 | | period, [INFO] [stdout] 528 | | name, [INFO] [stdout] 529 | | waveform, [INFO] [stdout] ... | [INFO] [stdout] 532 | | source_objects, [INFO] [stdout] 533 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:686:5 [INFO] [stdout] | [INFO] [stdout] 641 | let command = symbol("create_generated_clock"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 642 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 643 | let source = symbol("-source") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 646 | let edges = symbol("-edges") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 649 | let divide_by = symbol("-divide_by") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 652 | let multiply_by = symbol("-multiply_by") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 655 | let duty_cycle = symbol("-duty_cycle") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 658 | let invert = symbol("-invert").map(|_| CommandArg::Invert); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 659 | let edge_shift = symbol("-edge_shift") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 662 | let add = symbol("-add").map(|_| CommandArg::Add); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 663 | let master_clock = symbol("-master_clock") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 666 | let combinational = symbol("-combinational").map(|_| CommandArg::Combinational); [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 667 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 671 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 686 | / command [INFO] [stdout] 687 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:726:16 [INFO] [stdout] | [INFO] [stdout] 688 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 689 | let mut name = None; [INFO] [stdout] 690 | let mut source = None; [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 698 | let mut master_clock = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 701 | let mut source_objects = None; [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 720 | let source = source.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 723 | let source_objects = source_objects.ok_or( [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 726 | Ok(Command::CreateGeneratedClock(CreateGeneratedClock { [INFO] [stdout] | ________________^ [INFO] [stdout] 727 | | name, [INFO] [stdout] 728 | | source, [INFO] [stdout] 729 | | edges, [INFO] [stdout] ... | [INFO] [stdout] 739 | | source_objects, [INFO] [stdout] 740 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:838:5 [INFO] [stdout] | [INFO] [stdout] 819 | let command = symbol("create_voltage_area"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 820 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 821 | let coordinate = symbol("-coordinate") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 824 | let guard_band_x = symbol("-guard_band_x") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 827 | let guard_band_y = symbol("-guard_band_y") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 831 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 838 | / command [INFO] [stdout] 839 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:862:16 [INFO] [stdout] | [INFO] [stdout] 840 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 845 | let mut cell_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 859 | let cell_list = cell_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 862 | Ok(Command::CreateVoltageArea(CreateVoltageArea { [INFO] [stdout] | ________________^ [INFO] [stdout] 863 | | name, [INFO] [stdout] 864 | | coordinate, [INFO] [stdout] 865 | | guard_band_x, [INFO] [stdout] 866 | | guard_band_y, [INFO] [stdout] 867 | | cell_list, [INFO] [stdout] 868 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:918:5 [INFO] [stdout] | [INFO] [stdout] 915 | let command = symbol("current_instance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 916 | let instance = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 917 | let args = (attempt(instance),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 918 | / command [INFO] [stdout] 919 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:928:16 [INFO] [stdout] | [INFO] [stdout] 920 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 928 | Ok(Command::CurrentInstance(CurrentInstance { instance })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1068:5 [INFO] [stdout] | [INFO] [stdout] 1017 | let command = symbol("group_path"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1018 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1019 | let default = symbol("-default").map(|_| CommandArg::Default); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1020 | let weight = symbol("-weight") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1023 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1026 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1029 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1032 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1035 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1038 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1041 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1044 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1047 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1050 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1053 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1068 | / command [INFO] [stdout] 1069 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1102:16 [INFO] [stdout] | [INFO] [stdout] 1070 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1074 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1075 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1076 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1077 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1078 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1079 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1080 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1081 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1082 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1102 | Ok(Command::GroupPath(GroupPath { [INFO] [stdout] | ________________^ [INFO] [stdout] 1103 | | name, [INFO] [stdout] 1104 | | default, [INFO] [stdout] 1105 | | weight, [INFO] [stdout] ... | [INFO] [stdout] 1115 | | comment, [INFO] [stdout] 1116 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1191:26 [INFO] [stdout] | [INFO] [stdout] 1190 | .map(|(x, y)| { [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1191 | Command::Set(Set { [INFO] [stdout] | __________________________^ [INFO] [stdout] 1192 | | variable_name: x, [INFO] [stdout] 1193 | | value: y, [INFO] [stdout] 1194 | | }) [INFO] [stdout] | |_____________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1280:5 [INFO] [stdout] | [INFO] [stdout] 1264 | let command = symbol("set_case_analysis"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1265 | let value = choice(( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1279 | let args = (attempt(value), attempt(port_or_pin_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1280 | / command [INFO] [stdout] 1281 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1298:16 [INFO] [stdout] | [INFO] [stdout] 1282 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1283 | let mut value = None; [INFO] [stdout] 1284 | let mut port_or_pin_list = None; [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1295 | let port_or_pin_list = port_or_pin_list.ok_or( [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1298 | Ok(Command::SetCaseAnalysis(SetCaseAnalysis { [INFO] [stdout] | ________________^ [INFO] [stdout] 1299 | | value, [INFO] [stdout] 1300 | | port_or_pin_list, [INFO] [stdout] 1301 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1423:5 [INFO] [stdout] | [INFO] [stdout] 1402 | let command = symbol("set_clock_gating_check"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1403 | let setup = symbol("-setup") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1406 | let hold = symbol("-hold") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1409 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1410 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1411 | let high = symbol("-high").map(|_| CommandArg::High); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1412 | let low = symbol("-low").map(|_| CommandArg::Low); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1413 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 1414 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1423 | / command [INFO] [stdout] 1424 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1445:16 [INFO] [stdout] | [INFO] [stdout] 1425 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1432 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1445 | Ok(Command::SetClockGatingCheck(SetClockGatingCheck { [INFO] [stdout] | ________________^ [INFO] [stdout] 1446 | | setup, [INFO] [stdout] 1447 | | hold, [INFO] [stdout] 1448 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 1452 | | object_list, [INFO] [stdout] 1453 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1547:5 [INFO] [stdout] | [INFO] [stdout] 1524 | let command = attempt(symbol("set_clock_groups")).or(symbol("set_clock_groups")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1525 | let group = symbol("-group") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1528 | let logically_exclusive = [INFO] [stdout] | ------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1529 | symbol("-logically_exclusive").map(|_| CommandArg::LogicallyExclusive); [INFO] [stdout] 1530 | let physically_exclusive = [INFO] [stdout] | -------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1531 | symbol("-physically_exclusive").map(|_| CommandArg::PhysicallyExclusive); [INFO] [stdout] 1532 | let asynchronous = symbol("-asynchronous").map(|_| CommandArg::Asynchronous); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1533 | let allow_paths = symbol("-allow_paths").map(|_| CommandArg::AllowPaths); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1534 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1535 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1538 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1547 | / command [INFO] [stdout] 1548 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1572:16 [INFO] [stdout] | [INFO] [stdout] 1549 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1550 | let mut group = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1569 | let group = group.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1572 | Ok(Command::SetClockGroups(SetClockGroups { [INFO] [stdout] | ________________^ [INFO] [stdout] 1573 | | group, [INFO] [stdout] 1574 | | logically_exclusive, [INFO] [stdout] 1575 | | physically_exclusive, [INFO] [stdout] ... | [INFO] [stdout] 1579 | | comment, [INFO] [stdout] 1580 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1692:5 [INFO] [stdout] | [INFO] [stdout] 1665 | let command = symbol("set_clock_latency"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1666 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1667 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1668 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1669 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1670 | let source = symbol("-source").map(|_| CommandArg::Source); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1671 | let dynamic = symbol("-dynamic").map(|_| CommandArg::Dynamic); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1672 | let late = symbol("-late").map(|_| CommandArg::Late); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1673 | let early = symbol("-early").map(|_| CommandArg::Early); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1674 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1677 | let delay = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1678 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 1679 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1692 | / command [INFO] [stdout] 1693 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1728:16 [INFO] [stdout] | [INFO] [stdout] 1694 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1704 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1705 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1725 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1728 | Ok(Command::SetClockLatency(SetClockLatency { [INFO] [stdout] | ________________^ [INFO] [stdout] 1729 | | rise, [INFO] [stdout] 1730 | | fall, [INFO] [stdout] 1731 | | min, [INFO] [stdout] ... | [INFO] [stdout] 1739 | | object_list, [INFO] [stdout] 1740 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1831:5 [INFO] [stdout] | [INFO] [stdout] 1814 | let command = symbol("set_clock_sense"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1815 | let positive = symbol("-positive").map(|_| CommandArg::Positive); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1816 | let negative = symbol("-negative").map(|_| CommandArg::Negative); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1817 | let stop_propagation = symbol("-stop_propagation").map(|_| CommandArg::StopPropagation); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1818 | let pulse = symbol("-pulse").with(item()).map(|x| CommandArg::Pulse(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1819 | let clocks = symbol("-clocks") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1823 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1831 | / command [INFO] [stdout] 1832 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1854:16 [INFO] [stdout] | [INFO] [stdout] 1833 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1838 | let mut clocks = None; [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1839 | let mut pin_list = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1851 | let pin_list = pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1854 | Ok(Command::SetClockSense(SetClockSense { [INFO] [stdout] | ________________^ [INFO] [stdout] 1855 | | positive, [INFO] [stdout] 1856 | | negative, [INFO] [stdout] 1857 | | stop_propagation, [INFO] [stdout] ... | [INFO] [stdout] 1860 | | pin_list, [INFO] [stdout] 1861 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1942:5 [INFO] [stdout] | [INFO] [stdout] 1927 | let command = symbol("set_clock_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1928 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1929 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1930 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1931 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1932 | let transition = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 1933 | let clock_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 1934 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1942 | / command [INFO] [stdout] 1943 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:1968:16 [INFO] [stdout] | [INFO] [stdout] 1944 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1950 | let mut clock_list = None; [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1965 | let clock_list = clock_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 1968 | Ok(Command::SetClockTransition(SetClockTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 1969 | | rise, [INFO] [stdout] 1970 | | fall, [INFO] [stdout] 1971 | | min, [INFO] [stdout] ... | [INFO] [stdout] 1974 | | clock_list, [INFO] [stdout] 1975 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2104:5 [INFO] [stdout] | [INFO] [stdout] 2065 | let command = symbol("set_clock_uncertainty"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2066 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2069 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2072 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2075 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2078 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2081 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2084 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2085 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2086 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2087 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2088 | let uncertainty = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2089 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 2090 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2104 | / command [INFO] [stdout] 2105 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2139:16 [INFO] [stdout] | [INFO] [stdout] 2106 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2107 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2108 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2109 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2110 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2111 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2112 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2118 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2139 | Ok(Command::SetClockUncertainty(SetClockUncertainty { [INFO] [stdout] | ________________^ [INFO] [stdout] 2140 | | from, [INFO] [stdout] 2141 | | rise_from, [INFO] [stdout] 2142 | | fall_from, [INFO] [stdout] ... | [INFO] [stdout] 2151 | | object_list, [INFO] [stdout] 2152 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2289:5 [INFO] [stdout] | [INFO] [stdout] 2252 | let command = symbol("set_data_check"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2253 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2256 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2259 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2262 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2265 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2268 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2271 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2272 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2273 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2276 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2277 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2289 | / command [INFO] [stdout] 2290 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2320:16 [INFO] [stdout] | [INFO] [stdout] 2291 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2292 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2293 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2294 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2295 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2296 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2297 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2300 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2320 | Ok(Command::SetDataCheck(SetDataCheck { [INFO] [stdout] | ________________^ [INFO] [stdout] 2321 | | from, [INFO] [stdout] 2322 | | to, [INFO] [stdout] 2323 | | rise_from, [INFO] [stdout] ... | [INFO] [stdout] 2330 | | value, [INFO] [stdout] 2331 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2410:5 [INFO] [stdout] | [INFO] [stdout] 2401 | let command = symbol("set_disable_timing"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2402 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2405 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2409 | let args = (attempt(from), attempt(to), attempt(cell_pin_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2410 | / command [INFO] [stdout] 2411 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2427:16 [INFO] [stdout] | [INFO] [stdout] 2412 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2413 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2414 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2415 | let mut cell_pin_list = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2424 | let cell_pin_list = cell_pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2427 | Ok(Command::SetDisableTiming(SetDisableTiming { [INFO] [stdout] | ________________^ [INFO] [stdout] 2428 | | from, [INFO] [stdout] 2429 | | to, [INFO] [stdout] 2430 | | cell_pin_list, [INFO] [stdout] 2431 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2511:5 [INFO] [stdout] | [INFO] [stdout] 2496 | let command = symbol("set_drive"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2497 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2498 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2499 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2500 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2501 | let resistance = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2502 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 2503 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2511 | / command [INFO] [stdout] 2512 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2537:16 [INFO] [stdout] | [INFO] [stdout] 2513 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2519 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2534 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2537 | Ok(Command::SetDrive(SetDrive { [INFO] [stdout] | ________________^ [INFO] [stdout] 2538 | | rise, [INFO] [stdout] 2539 | | fall, [INFO] [stdout] 2540 | | min, [INFO] [stdout] ... | [INFO] [stdout] 2543 | | port_list, [INFO] [stdout] 2544 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2707:5 [INFO] [stdout] | [INFO] [stdout] 2656 | let command = symbol("set_driving_cell"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2657 | let lib_cell = symbol("-lib_cell") [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2660 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2661 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2662 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2663 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2664 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2667 | let pin = symbol("-pin") [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2670 | let from_pin = symbol("-from_pin") [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2673 | let dont_scale = symbol("-dont_scale").map(|_| CommandArg::DontScale); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2674 | let no_design_rule = symbol("-no_design_rule").map(|_| CommandArg::NoDesignRule); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2675 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2678 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2679 | let input_transition_rise = symbol("-input_transition_rise") [INFO] [stdout] | --------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2682 | let input_transition_fall = symbol("-input_transition_fall") [INFO] [stdout] | --------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2685 | let multiply_by = symbol("-multiply_by") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2689 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2707 | / command [INFO] [stdout] 2708 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2750:16 [INFO] [stdout] | [INFO] [stdout] 2709 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2710 | let mut lib_cell = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2715 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2716 | let mut pin = None; [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2717 | let mut from_pin = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2720 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2725 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2747 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2750 | Ok(Command::SetDrivingCell(SetDrivingCell { [INFO] [stdout] | ________________^ [INFO] [stdout] 2751 | | lib_cell, [INFO] [stdout] 2752 | | rise, [INFO] [stdout] 2753 | | fall, [INFO] [stdout] ... | [INFO] [stdout] 2766 | | port_list, [INFO] [stdout] 2767 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2938:5 [INFO] [stdout] | [INFO] [stdout] 2887 | let command = symbol("set_false_path"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2888 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2889 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2890 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2891 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2892 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2895 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2898 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2901 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2904 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2907 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2910 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2913 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2916 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2919 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2922 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2938 | / command [INFO] [stdout] 2939 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:2974:16 [INFO] [stdout] | [INFO] [stdout] 2940 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2945 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2946 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2947 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2948 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2949 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2950 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2951 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2952 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 2953 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 2974 | Ok(Command::SetFalsePath(SetFalsePath { [INFO] [stdout] | ________________^ [INFO] [stdout] 2975 | | setup, [INFO] [stdout] 2976 | | hold, [INFO] [stdout] 2977 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 2988 | | comment, [INFO] [stdout] 2989 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3065:5 [INFO] [stdout] | [INFO] [stdout] 3061 | let command = symbol("set_fanout_load"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3062 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3063 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3064 | let args = (attempt(value), attempt(port_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3065 | / command [INFO] [stdout] 3066 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3083:16 [INFO] [stdout] | [INFO] [stdout] 3067 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3068 | let mut value = None; [INFO] [stdout] 3069 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3080 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3083 | Ok(Command::SetFanoutLoad(SetFanoutLoad { value, port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3158:5 [INFO] [stdout] | [INFO] [stdout] 3143 | let command = symbol("set_ideal_latency"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3144 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3145 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3146 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3147 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3148 | let delay = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3149 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3150 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3158 | / command [INFO] [stdout] 3159 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3184:16 [INFO] [stdout] | [INFO] [stdout] 3160 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3166 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3181 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3184 | Ok(Command::SetIdealLatency(SetIdealLatency { [INFO] [stdout] | ________________^ [INFO] [stdout] 3185 | | rise, [INFO] [stdout] 3186 | | fall, [INFO] [stdout] 3187 | | min, [INFO] [stdout] ... | [INFO] [stdout] 3190 | | object_list, [INFO] [stdout] 3191 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3245:5 [INFO] [stdout] | [INFO] [stdout] 3241 | let command = symbol("set_ideal_network"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3242 | let no_propagate = symbol("-no_propagate").map(|_| CommandArg::NoPropagate); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3243 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3244 | let args = (attempt(no_propagate), attempt(object_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3245 | / command [INFO] [stdout] 3246 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3260:16 [INFO] [stdout] | [INFO] [stdout] 3247 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3248 | let mut no_propagate = false; [INFO] [stdout] 3249 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3257 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3260 | Ok(Command::SetIdealNetwork(SetIdealNetwork { [INFO] [stdout] | ________________^ [INFO] [stdout] 3261 | | no_propagate, [INFO] [stdout] 3262 | | object_list, [INFO] [stdout] 3263 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3338:5 [INFO] [stdout] | [INFO] [stdout] 3323 | let command = symbol("set_ideal_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3324 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3325 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3326 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3327 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3328 | let transition_time = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3329 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3330 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3338 | / command [INFO] [stdout] 3339 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3364:16 [INFO] [stdout] | [INFO] [stdout] 3340 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3346 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3361 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3364 | Ok(Command::SetIdealTransition(SetIdealTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 3365 | | rise, [INFO] [stdout] 3366 | | fall, [INFO] [stdout] 3367 | | min, [INFO] [stdout] ... | [INFO] [stdout] 3370 | | object_list, [INFO] [stdout] 3371 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3498:5 [INFO] [stdout] | [INFO] [stdout] 3463 | let command = symbol("set_input_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3464 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3467 | let reference_pin = symbol("-reference_pin") [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3470 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3471 | let level_sensitive = symbol("-level_sensitive").map(|_| CommandArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3472 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3473 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3474 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3475 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3476 | let add_delay = symbol("-add_delay").map(|_| CommandArg::AddDelay); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3477 | let network_latency_included = [INFO] [stdout] | ------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3478 | symbol("-network_latency_included").map(|_| CommandArg::NetworkLatencyIncluded); [INFO] [stdout] 3479 | let source_latency_included = [INFO] [stdout] | ----------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3480 | symbol("-source_latency_included").map(|_| CommandArg::SourceLatencyIncluded); [INFO] [stdout] 3481 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3482 | let port_pin_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3483 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3498 | / command [INFO] [stdout] 3499 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3538:16 [INFO] [stdout] | [INFO] [stdout] 3500 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3501 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3502 | let mut reference_pin = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3513 | let mut port_pin_list = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3535 | let port_pin_list = port_pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3538 | Ok(Command::SetInputDelay(SetInputDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 3539 | | clock, [INFO] [stdout] 3540 | | reference_pin, [INFO] [stdout] 3541 | | clock_fall, [INFO] [stdout] ... | [INFO] [stdout] 3551 | | port_pin_list, [INFO] [stdout] 3552 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3656:5 [INFO] [stdout] | [INFO] [stdout] 3635 | let command = symbol("set_input_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3636 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3637 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3638 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3639 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3640 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3643 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3644 | let transition = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3645 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3646 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3656 | / command [INFO] [stdout] 3657 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3686:16 [INFO] [stdout] | [INFO] [stdout] 3658 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3663 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3666 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3683 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3686 | Ok(Command::SetInputTransition(SetInputTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 3687 | | rise, [INFO] [stdout] 3688 | | fall, [INFO] [stdout] 3689 | | min, [INFO] [stdout] ... | [INFO] [stdout] 3694 | | port_list, [INFO] [stdout] 3695 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3750:5 [INFO] [stdout] | [INFO] [stdout] 3747 | let command = symbol("set_level_shifter_strategy"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3748 | let rule = symbol("-rule").with(item()).map(|x| CommandArg::Rule(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3749 | let args = (attempt(rule),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3750 | / command [INFO] [stdout] 3751 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3760:16 [INFO] [stdout] | [INFO] [stdout] 3752 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3760 | Ok(Command::SetLevelShifterStrategy(SetLevelShifterStrategy { [INFO] [stdout] | ________________^ [INFO] [stdout] 3761 | | rule, [INFO] [stdout] 3762 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3815:5 [INFO] [stdout] | [INFO] [stdout] 3807 | let command = symbol("set_level_shifter_threshold"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3808 | let voltage = symbol("-voltage") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3811 | let percent = symbol("-percent") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3814 | let args = (attempt(voltage), attempt(percent)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3815 | / command [INFO] [stdout] 3816 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3827:16 [INFO] [stdout] | [INFO] [stdout] 3817 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3827 | Ok(Command::SetLevelShifterThreshold( [INFO] [stdout] | ________________^ [INFO] [stdout] 3828 | | SetLevelShifterThreshold { voltage, percent }, [INFO] [stdout] 3829 | | )) [INFO] [stdout] | |_____________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3908:5 [INFO] [stdout] | [INFO] [stdout] 3891 | let command = symbol("set_load"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3892 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3893 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3894 | let subtract_pin_load = symbol("-subtract_pin_load").map(|_| CommandArg::SubtractPinLoad); [INFO] [stdout] | ----------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3895 | let pin_load = symbol("-pin_load").map(|_| CommandArg::PinLoad); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3896 | let wire_load = symbol("-wire_load").map(|_| CommandArg::WireLoad); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3897 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3898 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 3899 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3908 | / command [INFO] [stdout] 3909 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3934:16 [INFO] [stdout] | [INFO] [stdout] 3910 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3917 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3931 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3934 | Ok(Command::SetLoad(SetLoad { [INFO] [stdout] | ________________^ [INFO] [stdout] 3935 | | min, [INFO] [stdout] 3936 | | max, [INFO] [stdout] 3937 | | subtract_pin_load, [INFO] [stdout] ... | [INFO] [stdout] 3941 | | objects, [INFO] [stdout] 3942 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:3992:5 [INFO] [stdout] | [INFO] [stdout] 3989 | let command = symbol("set_logic_dc"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 3992 | / command [INFO] [stdout] 3993 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4005:16 [INFO] [stdout] | [INFO] [stdout] 3994 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 3995 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4002 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4005 | Ok(Command::SetLogicDc(SetLogicDc { port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4049:5 [INFO] [stdout] | [INFO] [stdout] 4046 | let command = symbol("set_logic_one"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4049 | / command [INFO] [stdout] 4050 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4062:16 [INFO] [stdout] | [INFO] [stdout] 4051 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4052 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4059 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4062 | Ok(Command::SetLogicOne(SetLogicOne { port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4106:5 [INFO] [stdout] | [INFO] [stdout] 4103 | let command = symbol("set_logic_zero"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4106 | / command [INFO] [stdout] 4107 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4119:16 [INFO] [stdout] | [INFO] [stdout] 4108 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4109 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4116 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4119 | Ok(Command::SetLogicZero(SetLogicZero { port_list })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4163:5 [INFO] [stdout] | [INFO] [stdout] 4160 | let command = symbol("set_max_area"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4161 | let area_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4162 | let args = (attempt(area_value),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4163 | / command [INFO] [stdout] 4164 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4176:16 [INFO] [stdout] | [INFO] [stdout] 4165 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4176 | Ok(Command::SetMaxArea(SetMaxArea { area_value })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4216:5 [INFO] [stdout] | [INFO] [stdout] 4212 | let command = symbol("set_max_capacitance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4213 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4214 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4215 | let args = (attempt(value), attempt(objects)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4216 | / command [INFO] [stdout] 4217 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4234:16 [INFO] [stdout] | [INFO] [stdout] 4218 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4219 | let mut value = None; [INFO] [stdout] 4220 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4231 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4234 | Ok(Command::SetMaxCapacitance(SetMaxCapacitance { [INFO] [stdout] | ________________^ [INFO] [stdout] 4235 | | value, [INFO] [stdout] 4236 | | objects, [INFO] [stdout] 4237 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4383:5 [INFO] [stdout] | [INFO] [stdout] 4331 | let command = symbol("set_max_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4332 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4333 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4334 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4337 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4340 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4343 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4346 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4349 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4352 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4355 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4358 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4361 | let ignore_clock_latency = [INFO] [stdout] | -------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4362 | symbol("-ignore_clock_latency").map(|_| CommandArg::IgnoreClockLatency); [INFO] [stdout] 4363 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4366 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4367 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4383 | / command [INFO] [stdout] 4384 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4422:16 [INFO] [stdout] | [INFO] [stdout] 4385 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4388 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4389 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4390 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4391 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4392 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4393 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4394 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4395 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4396 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4422 | Ok(Command::SetMaxDelay(SetMaxDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 4423 | | rise, [INFO] [stdout] 4424 | | fall, [INFO] [stdout] 4425 | | from, [INFO] [stdout] ... | [INFO] [stdout] 4436 | | delay_value, [INFO] [stdout] 4437 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4516:5 [INFO] [stdout] | [INFO] [stdout] 4512 | let command = symbol("set_max_dynamic_power"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4513 | let power = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4514 | let unit = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4515 | let args = (attempt(power), attempt(unit)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4516 | / command [INFO] [stdout] 4517 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4531:16 [INFO] [stdout] | [INFO] [stdout] 4518 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4531 | Ok(Command::SetMaxDynamicPower(SetMaxDynamicPower { [INFO] [stdout] | ________________^ [INFO] [stdout] 4532 | | power, [INFO] [stdout] 4533 | | unit, [INFO] [stdout] 4534 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4580:5 [INFO] [stdout] | [INFO] [stdout] 4576 | let command = symbol("set_max_fanout"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4577 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4578 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4579 | let args = (attempt(value), attempt(objects)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4580 | / command [INFO] [stdout] 4581 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4598:16 [INFO] [stdout] | [INFO] [stdout] 4582 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4583 | let mut value = None; [INFO] [stdout] 4584 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4595 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4598 | Ok(Command::SetMaxFanout(SetMaxFanout { value, objects })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [INFO] [stdout] | | [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4649:5 [INFO] [stdout] | [INFO] [stdout] 4645 | let command = symbol("set_max_leakage_power"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4646 | let power = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4647 | let unit = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4648 | let args = (attempt(power), attempt(unit)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4649 | / command [INFO] [stdout] 4650 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4664:16 [INFO] [stdout] | [INFO] [stdout] 4651 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4664 | Ok(Command::SetMaxLeakagePower(SetMaxLeakagePower { [INFO] [stdout] | ________________^ [INFO] [stdout] 4665 | | power, [INFO] [stdout] 4666 | | unit, [INFO] [stdout] 4667 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4713:5 [INFO] [stdout] | [INFO] [stdout] 4709 | let command = symbol("set_max_time_borrow"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4710 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4711 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4712 | let args = (attempt(delay_value), attempt(object_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4713 | / command [INFO] [stdout] 4714 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4731:16 [INFO] [stdout] | [INFO] [stdout] 4715 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4716 | let mut delay_value = None; [INFO] [stdout] 4717 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4728 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4731 | Ok(Command::SetMaxTimeBorrow(SetMaxTimeBorrow { [INFO] [stdout] | ________________^ [INFO] [stdout] 4732 | | delay_value, [INFO] [stdout] 4733 | | object_list, [INFO] [stdout] 4734 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4809:5 [INFO] [stdout] | [INFO] [stdout] 4794 | let command = symbol("set_max_transition"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4795 | let clock_path = symbol("-clock_path").map(|_| CommandArg::ClockPath); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4796 | let data_path = symbol("-data_path").map(|_| CommandArg::DataPath); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4797 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4798 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4799 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4800 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4801 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4809 | / command [INFO] [stdout] 4810 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4835:16 [INFO] [stdout] | [INFO] [stdout] 4811 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4817 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4832 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4835 | Ok(Command::SetMaxTransition(SetMaxTransition { [INFO] [stdout] | ________________^ [INFO] [stdout] 4836 | | clock_path, [INFO] [stdout] 4837 | | data_path, [INFO] [stdout] 4838 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 4841 | | object_list, [INFO] [stdout] 4842 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4894:5 [INFO] [stdout] | [INFO] [stdout] 4890 | let command = symbol("set_min_capacitance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4891 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4892 | let objects = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 4893 | let args = (attempt(value), attempt(objects)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4894 | / command [INFO] [stdout] 4895 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:4912:16 [INFO] [stdout] | [INFO] [stdout] 4896 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 4897 | let mut value = None; [INFO] [stdout] 4898 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4909 | let objects = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 4912 | Ok(Command::SetMinCapacitance(SetMinCapacitance { [INFO] [stdout] | ________________^ [INFO] [stdout] 4913 | | value, [INFO] [stdout] 4914 | | objects, [INFO] [stdout] 4915 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5061:5 [INFO] [stdout] | [INFO] [stdout] 5009 | let command = symbol("set_min_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5010 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5011 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5012 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5015 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5018 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5021 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5024 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5027 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5030 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5033 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5036 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5039 | let ignore_clock_latency = [INFO] [stdout] | -------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5040 | symbol("-ignore_clock_latency").map(|_| CommandArg::IgnoreClockLatency); [INFO] [stdout] 5041 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5044 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5045 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5061 | / command [INFO] [stdout] 5062 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5100:16 [INFO] [stdout] | [INFO] [stdout] 5063 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5066 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5067 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5068 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5069 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5070 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5071 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5072 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5073 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5074 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5100 | Ok(Command::SetMinDelay(SetMinDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 5101 | | rise, [INFO] [stdout] 5102 | | fall, [INFO] [stdout] 5103 | | from, [INFO] [stdout] ... | [INFO] [stdout] 5114 | | delay_value, [INFO] [stdout] 5115 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5191:5 [INFO] [stdout] | [INFO] [stdout] 5187 | let command = symbol("set_min_porosity"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5188 | let porosity_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | -------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5189 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5190 | let args = (attempt(porosity_value), attempt(object_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5191 | / command [INFO] [stdout] 5192 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5209:16 [INFO] [stdout] | [INFO] [stdout] 5193 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5194 | let mut value = None; [INFO] [stdout] 5195 | let mut objects = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5206 | let object_list = objects.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5209 | Ok(Command::SetMinPorosity(SetMinPorosity { [INFO] [stdout] | ________________^ [INFO] [stdout] 5210 | | porosity_value, [INFO] [stdout] 5211 | | object_list, [INFO] [stdout] 5212 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5277:5 [INFO] [stdout] | [INFO] [stdout] 5266 | let command = symbol("set_min_pulse_width"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5267 | let low = symbol("-low").map(|_| CommandArg::Low); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5268 | let high = symbol("-high").map(|_| CommandArg::High); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5269 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5270 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5271 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5277 | / command [INFO] [stdout] 5278 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5296:16 [INFO] [stdout] | [INFO] [stdout] 5279 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5283 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5296 | Ok(Command::SetMinPulseWidth(SetMinPulseWidth { [INFO] [stdout] | ________________^ [INFO] [stdout] 5297 | | low, [INFO] [stdout] 5298 | | high, [INFO] [stdout] 5299 | | value, [INFO] [stdout] 5300 | | object_list, [INFO] [stdout] 5301 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5466:5 [INFO] [stdout] | [INFO] [stdout] 5409 | let command = symbol("set_multicycle_path"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5410 | let setup = symbol("-setup").map(|_| CommandArg::Setup); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5411 | let hold = symbol("-hold").map(|_| CommandArg::Hold); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5412 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5413 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5414 | let start = symbol("-start").map(|_| CommandArg::Start); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5415 | let end = symbol("-end").map(|_| CommandArg::End); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5416 | let from = symbol("-from") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5419 | let to = symbol("-to") [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5422 | let through = symbol("-through") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5425 | let rise_from = symbol("-rise_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5428 | let rise_to = symbol("-rise_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5431 | let rise_through = symbol("-rise_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5434 | let fall_from = symbol("-fall_from") [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5437 | let fall_to = symbol("-fall_to") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5440 | let fall_through = symbol("-fall_through") [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5443 | let comment = symbol("-comment") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5446 | let path_multiplier = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5447 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5466 | / command [INFO] [stdout] 5467 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5511:16 [INFO] [stdout] | [INFO] [stdout] 5468 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5475 | let mut from = None; [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5476 | let mut to = None; [INFO] [stdout] | -- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5477 | let mut through = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5478 | let mut rise_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5479 | let mut rise_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5480 | let mut rise_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5481 | let mut fall_from = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5482 | let mut fall_to = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5483 | let mut fall_through = None; [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5511 | Ok(Command::SetMulticyclePath(SetMulticyclePath { [INFO] [stdout] | ________________^ [INFO] [stdout] 5512 | | setup, [INFO] [stdout] 5513 | | hold, [INFO] [stdout] 5514 | | rise, [INFO] [stdout] ... | [INFO] [stdout] 5528 | | path_multiplier, [INFO] [stdout] 5529 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5661:5 [INFO] [stdout] | [INFO] [stdout] 5632 | let command = symbol("set_operating_conditions"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5633 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5636 | let analysis_type = symbol("-analysis_type") [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5639 | let max = symbol("-max").with(item()).map(|x| CommandArg::MaxStr(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5640 | let min = symbol("-min").with(item()).map(|x| CommandArg::MinStr(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5641 | let max_library = symbol("-max_library") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5644 | let min_library = symbol("-min_library") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5647 | let object_list = symbol("-object_list") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5650 | let condition = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5651 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5661 | / command [INFO] [stdout] 5662 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5685:16 [INFO] [stdout] | [INFO] [stdout] 5663 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5664 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5668 | let mut max_library = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5669 | let mut min_library = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5670 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5685 | Ok(Command::SetOperatingConditions(SetOperatingConditions { [INFO] [stdout] | ________________^ [INFO] [stdout] 5686 | | library, [INFO] [stdout] 5687 | | analysis_type, [INFO] [stdout] 5688 | | max, [INFO] [stdout] ... | [INFO] [stdout] 5693 | | condition, [INFO] [stdout] 5694 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5830:5 [INFO] [stdout] | [INFO] [stdout] 5795 | let command = symbol("set_output_delay"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5796 | let clock = symbol("-clock") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5799 | let reference_pin = symbol("-reference_pin") [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5802 | let clock_fall = symbol("-clock_fall").map(|_| CommandArg::ClockFall); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5803 | let level_sensitive = symbol("-level_sensitive").map(|_| CommandArg::LevelSensitive); [INFO] [stdout] | --------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5804 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5805 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5806 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5807 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5808 | let add_delay = symbol("-add_delay").map(|_| CommandArg::AddDelay); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5809 | let network_latency_included = [INFO] [stdout] | ------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5810 | symbol("-network_latency_included").map(|_| CommandArg::NetworkLatencyIncluded); [INFO] [stdout] 5811 | let source_latency_included = [INFO] [stdout] | ----------------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5812 | symbol("-source_latency_included").map(|_| CommandArg::SourceLatencyIncluded); [INFO] [stdout] 5813 | let delay_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5814 | let port_pin_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5815 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5830 | / command [INFO] [stdout] 5831 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5870:16 [INFO] [stdout] | [INFO] [stdout] 5832 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5833 | let mut clock = None; [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5834 | let mut reference_pin = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5845 | let mut port_pin_list = None; [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5867 | let port_pin_list = port_pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5870 | Ok(Command::SetOutputDelay(SetOutputDelay { [INFO] [stdout] | ________________^ [INFO] [stdout] 5871 | | clock, [INFO] [stdout] 5872 | | reference_pin, [INFO] [stdout] 5873 | | clock_fall, [INFO] [stdout] ... | [INFO] [stdout] 5883 | | port_pin_list, [INFO] [stdout] 5884 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5947:5 [INFO] [stdout] | [INFO] [stdout] 5943 | let command = symbol("set_port_fanout_number"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5944 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5945 | let port_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 5946 | let args = (attempt(value), attempt(port_list)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5947 | / command [INFO] [stdout] 5948 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:5965:16 [INFO] [stdout] | [INFO] [stdout] 5949 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 5950 | let mut value = None; [INFO] [stdout] 5951 | let mut port_list = None; [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5962 | let port_list = port_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 5965 | Ok(Command::SetPortFanoutNumber(SetPortFanoutNumber { [INFO] [stdout] | ________________^ [INFO] [stdout] 5966 | | value, [INFO] [stdout] 5967 | | port_list, [INFO] [stdout] 5968 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6013:5 [INFO] [stdout] | [INFO] [stdout] 6010 | let command = symbol("set_propagated_clock"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6013 | / command [INFO] [stdout] 6014 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6026:16 [INFO] [stdout] | [INFO] [stdout] 6015 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6016 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6023 | let object_list = object_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6026 | Ok(Command::SetPropagatedClock(SetPropagatedClock { [INFO] [stdout] | ________________^ [INFO] [stdout] 6027 | | object_list, [INFO] [stdout] 6028 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6090:5 [INFO] [stdout] | [INFO] [stdout] 6079 | let command = symbol("set_resistance"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6080 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6081 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6082 | let value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6083 | let net_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 6084 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6090 | / command [INFO] [stdout] 6091 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6112:16 [INFO] [stdout] | [INFO] [stdout] 6092 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6096 | let mut net_list = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6109 | let net_list = net_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6112 | Ok(Command::SetResistance(SetResistance { [INFO] [stdout] | ________________^ [INFO] [stdout] 6113 | | min, [INFO] [stdout] 6114 | | max, [INFO] [stdout] 6115 | | value, [INFO] [stdout] 6116 | | net_list, [INFO] [stdout] 6117 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6216:5 [INFO] [stdout] | [INFO] [stdout] 6193 | let command = symbol("set_sense"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6194 | let r#type = symbol("-type").with(item()).map(|x| CommandArg::Type(x)); [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6195 | let non_unate = symbol("-non_unate").map(|_| CommandArg::NonUnate); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6196 | let positive = symbol("-positive").map(|_| CommandArg::Positive); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6197 | let negative = symbol("-negative").map(|_| CommandArg::Negative); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6198 | let clock_leaf = symbol("-clock_leaf").map(|_| CommandArg::ClockLeaf); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6199 | let stop_propagation = symbol("-stop_propagation").map(|_| CommandArg::StopPropagation); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6200 | let pulse = symbol("-pulse").with(item()).map(|x| CommandArg::Pulse(x)); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6201 | let clocks = symbol("-clocks") [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6205 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6216 | / command [INFO] [stdout] 6217 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6245:16 [INFO] [stdout] | [INFO] [stdout] 6218 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6226 | let mut clocks = None; [INFO] [stdout] | ------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6227 | let mut pin_list = None; [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6242 | let pin_list = pin_list.ok_or(AndThenError::::message_static_message( [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6245 | Ok(Command::SetSense(SetSense { [INFO] [stdout] | ________________^ [INFO] [stdout] 6246 | | r#type, [INFO] [stdout] 6247 | | non_unate, [INFO] [stdout] 6248 | | positive, [INFO] [stdout] ... | [INFO] [stdout] 6254 | | pin_list, [INFO] [stdout] 6255 | | })) [INFO] [stdout] | | ^ [INFO] [stdout] | | | [INFO] [stdout] | | these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6390:5 [INFO] [stdout] | [INFO] [stdout] 6359 | let command = symbol("set_timing_derate"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6360 | let cell_delay = symbol("-cell_delay").map(|_| CommandArg::CellDelay); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6361 | let cell_check = symbol("-cell_check").map(|_| CommandArg::CellCheck); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6362 | let net_delay = symbol("-net_delay").map(|_| CommandArg::NetDelay); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6363 | let data = symbol("-data").map(|_| CommandArg::Data); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6364 | let clock = symbol("-clock").map(|_| CommandArg::Clock); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6365 | let early = symbol("-early").map(|_| CommandArg::Early); [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6366 | let late = symbol("-late").map(|_| CommandArg::Late); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6367 | let rise = symbol("-rise").map(|_| CommandArg::Rise); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6368 | let fall = symbol("-fall").map(|_| CommandArg::Fall); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6369 | let r#static = symbol("-static").map(|_| CommandArg::Static); [INFO] [stdout] | -------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6370 | let dynamic = symbol("-dynamic").map(|_| CommandArg::Dynamic); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6371 | let increment = symbol("-increment").map(|_| CommandArg::Increment); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6372 | let derate_value = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6373 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 6374 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6390 | / command [INFO] [stdout] 6391 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6429:16 [INFO] [stdout] | [INFO] [stdout] 6392 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6406 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6429 | Ok(Command::SetTimingDerate(SetTimingDerate { [INFO] [stdout] | ________________^ [INFO] [stdout] 6430 | | cell_delay, [INFO] [stdout] 6431 | | cell_check, [INFO] [stdout] 6432 | | net_delay, [INFO] [stdout] ... | [INFO] [stdout] 6443 | | object_list, [INFO] [stdout] 6444 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6579:5 [INFO] [stdout] | [INFO] [stdout] 6552 | let command = attempt(symbol("set_units")).or(symbol("set_unit")); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6553 | let capacitance = symbol("-capacitance") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6556 | let resistance = symbol("-resistance") [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6559 | let time = symbol("-time") [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6562 | let voltage = symbol("-voltage") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6565 | let current = symbol("-current") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6568 | let power = symbol("-power") [INFO] [stdout] | ----- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6571 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6579 | / command [INFO] [stdout] 6580 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6599:16 [INFO] [stdout] | [INFO] [stdout] 6581 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6599 | Ok(Command::SetUnits(SetUnits { [INFO] [stdout] | ________________^ [INFO] [stdout] 6600 | | capacitance, [INFO] [stdout] 6601 | | resistance, [INFO] [stdout] 6602 | | time, [INFO] [stdout] ... | [INFO] [stdout] 6605 | | power, [INFO] [stdout] 6606 | | })) [INFO] [stdout] | |______________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6723:5 [INFO] [stdout] | [INFO] [stdout] 6712 | let command = symbol("set_voltage"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6713 | let min = symbol("-min").with(float()).map(|x| CommandArg::MinVal(x)); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6714 | let object_list = symbol("-object_list") [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6717 | let max_case_voltage = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---------------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6718 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6723 | / command [INFO] [stdout] 6724 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6740:16 [INFO] [stdout] | [INFO] [stdout] 6725 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6726 | let mut min = None; [INFO] [stdout] 6727 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6740 | Ok(Command::SetVoltage(SetVoltage { [INFO] [stdout] | ________________^ [INFO] [stdout] 6741 | | min, [INFO] [stdout] 6742 | | object_list, [INFO] [stdout] 6743 | | max_case_voltage, [INFO] [stdout] 6744 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6790:5 [INFO] [stdout] | [INFO] [stdout] 6787 | let command = symbol("set_wire_load_min_block_size"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6788 | let size = float().map(|x| CommandArg::Value(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6789 | let args = (attempt(size),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6790 | / command [INFO] [stdout] 6791 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6803:16 [INFO] [stdout] | [INFO] [stdout] 6792 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6803 | Ok(Command::SetWireLoadMinBlockSize(SetWireLoadMinBlockSize { [INFO] [stdout] | ________________^ [INFO] [stdout] 6804 | | size, [INFO] [stdout] 6805 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6845:5 [INFO] [stdout] | [INFO] [stdout] 6842 | let command = symbol("set_wire_load_mode"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6843 | let mode_name = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | --------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6844 | let args = (attempt(mode_name),); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6845 | / command [INFO] [stdout] 6846 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6858:16 [INFO] [stdout] | [INFO] [stdout] 6847 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6858 | Ok(Command::SetWireLoadMode(SetWireLoadMode { mode_name })) [INFO] [stdout] | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6928:5 [INFO] [stdout] | [INFO] [stdout] 6913 | let command = symbol("set_wire_load_model"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6914 | let name = symbol("-name").with(item()).map(|x| CommandArg::Name(x)); [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6915 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6918 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6919 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6920 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 6921 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6928 | / command [INFO] [stdout] 6929 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:6949:16 [INFO] [stdout] | [INFO] [stdout] 6930 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 6931 | let mut name = None; [INFO] [stdout] 6932 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6935 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 6949 | Ok(Command::SetWireLoadModel(SetWireLoadModel { [INFO] [stdout] | ________________^ [INFO] [stdout] 6950 | | name, [INFO] [stdout] 6951 | | library, [INFO] [stdout] 6952 | | min, [INFO] [stdout] 6953 | | max, [INFO] [stdout] 6954 | | object_list, [INFO] [stdout] 6955 | | })) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |______________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:7033:5 [INFO] [stdout] | [INFO] [stdout] 7018 | let command = symbol("set_wire_load_selection_group"); [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7019 | let library = symbol("-library") [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7022 | let min = symbol("-min").map(|_| CommandArg::Min); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7023 | let max = symbol("-max").map(|_| CommandArg::Max); [INFO] [stdout] | --- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7024 | let group_name = item().map(|x| CommandArg::String(x)); [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7025 | let object_list = parser(object).map(|x| CommandArg::Object(x)); [INFO] [stdout] 7026 | let args = ( [INFO] [stdout] | ---- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7033 | / command [INFO] [stdout] 7034 | | .with(many(choice(args))) [INFO] [stdout] | |_________________________________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/sdc.rs:7054:16 [INFO] [stdout] | [INFO] [stdout] 7035 | .and_then::<_, _, AndThenError, _>(|xs: Vec<_>| { [INFO] [stdout] | ---------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] 7036 | let mut library = None; [INFO] [stdout] | ------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7040 | let mut object_list = None; [INFO] [stdout] | ----------- these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 7054 | Ok(Command::SetWireLoadSelectionGroup( [INFO] [stdout] | ________________^ [INFO] [stdout] 7055 | | SetWireLoadSelectionGroup { [INFO] [stdout] 7056 | | library, [INFO] [stdout] 7057 | | min, [INFO] [stdout] ... | [INFO] [stdout] 7061 | | }, [INFO] [stdout] 7062 | | )) [INFO] [stdout] | | ^ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] | |_____________| [INFO] [stdout] | [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/util.rs:151:22 [INFO] [stdout] | [INFO] [stdout] 145 | parser: impl Parser, [INFO] [stdout] | ------------------------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 151 | combine::between(lex(char(start)), lex(char(end)), parser) [INFO] [stdout] | ^^^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/util.rs:151:40 [INFO] [stdout] | [INFO] [stdout] 145 | parser: impl Parser, [INFO] [stdout] | ------------------------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 151 | combine::between(lex(char(start)), lex(char(end)), parser) [INFO] [stdout] | ^^^^^^^^^^^^^^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: these values and local bindings have significant drop implementation that will have a different drop order from that of Edition 2021 [INFO] [stdout] --> src/util.rs:161:5 [INFO] [stdout] | [INFO] [stdout] 155 | parser: impl Parser, [INFO] [stdout] | ------------------------------------------ these values have significant drop implementation and will observe changes in drop order under Edition 2024 [INFO] [stdout] ... [INFO] [stdout] 161 | / parser [INFO] [stdout] 162 | | .and(skip_many(one_of(" \t".chars()).or( [INFO] [stdout] 163 | | char('\\').with(char('\n').or(string("\r\n").map(|_| ' '))), [INFO] [stdout] 164 | | ))) [INFO] [stdout] | |___________^ [INFO] [stdout] | [INFO] [stdout] = warning: this changes meaning in Rust 2024 [INFO] [stdout] = note: for more information, see issue #123739 [INFO] [stdout] [INFO] [stdout] [INFO] [stdout] error: aborting due to 133 previous errors; 1 warning emitted [INFO] [stdout] [INFO] [stdout] [INFO] [stderr] error: could not compile `sdc-parser` (lib test) due to 134 previous errors; 1 warning emitted [INFO] running `Command { std: "docker" "inspect" "e7494ebdb45ac57b7b15cddecc13f3c0aa795a43f930a2cbf443a096caafdf7c", kill_on_drop: false }` [INFO] running `Command { std: "docker" "rm" "-f" "e7494ebdb45ac57b7b15cddecc13f3c0aa795a43f930a2cbf443a096caafdf7c", kill_on_drop: false }` [INFO] [stdout] e7494ebdb45ac57b7b15cddecc13f3c0aa795a43f930a2cbf443a096caafdf7c